全平台轻量级 Verilog 编译器 & 仿真环境

这篇博客介绍了适用于macOS的轻量级Verilog编译器和仿真环境,解决了Verilog学习者在Mac上工具不便的问题。该工具组包括Iverilog和VVP,总大小不超过20M。通过Homebrew进行Mac安装,使用Iverilog编译代码,VVP运行仿真,GTKWave查看波形。此外,还提及了如何将参数转换为代码以及利用Icarus Verilog库进行更多操作。
摘要由CSDN通过智能技术生成

一直苦于 modelsim 没有Mac版本,且其体量过大,在学习verilog 时不方便使用。

终于找到一组轻量级且全平台 ( Linux+Windows+macOS ) 的编译仿真工具组。

Icarus Verilog + gtkwave

两者为轻量级verilog编译工具,一共不超过20M。

wiki用户指南链接: iverilog

安装工具

Mac利用Homebrew安装,跨平台有winlinux版本。

Mac下安装命令如下:

brew install icarus-verilog
brew install caskroom/cask/gtkwave

使用实例

testbanch 文件中加入:

$dumpfile("dff.vcd");
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值