全平台轻量级 Verilog 编译器 & 仿真环境

一直苦于 modelsim 没有Mac版本,且其体量过大,在学习verilog 时不方便使用。

终于找到一组轻量级且全平台 ( Linux+Windows+macOS ) 的编译仿真工具组。

Icarus Verilog + gtkwave

两者为轻量级verilog编译工具,一共不超过20M。

wiki用户指南链接: iverilog

安装工具

Mac利用Homebrew安装,跨平台有winlinux版本。

Mac下安装命令如下:

brew install icarus-verilog
brew install caskroom/cask/gtkwave

使用实例

testbanch 文件中加入:

$dumpfile("dff.vcd");
$dumpvars;

利用iverilog进行编译,例如

iverilog Mux2to1_bhl.v test_Mux2to1.v

利用vvp进行运行

vvp a.out
VCD info: dumpfile dff.vcd opened for output.

利用gtkwave查看仿真波形

这里写图片描述

其他功能

利用-tvhdl 参数转为vhdl代码 (注意这玩意-o要放在前面)

iverilog -tvhdl -o my_design.vhd my_design.v

还有例如利用Xilinx库,可以查看wiki

  • 8
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值