verilog综合编译后出现占用逻辑资源为0的情况

在编译过后出现,

资源占用量为0,通过查看RTL Viewer(Tools->Netlist Viewers),发现有模块与模块之间有一个引脚没有连接起来。后来连接后,逻辑资源占用正常,程序运行也正确。太粗心了。


修改后的框图


可以看到U0模块的RESR_signalZ信号与U1的RESR_signalZ信号线连接在一起了。


评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值