quartus II 调用 ModelSim

注意:是调用ModelSim不是ModelSim-Altern!!!!!

1、quartus II安装的是14.0,官网可以下载,破解软件网上也很容易能找到。安装的过程中可以选择安装ModelSim-Altera,安装好以后的 版本是10.1e(应该没记错偷笑),但是我在写该文章前(2014年8月9日)没有在网上找到破解软件。破解失败大哭

2、所以果断用ModelSim-SE10.2c代替,网上连同破解软件都能下到。大笑

3、以上两个软件的破解步骤网上有就不啰嗦了。直逼主题!!!

4、忘了说了,我的操作系统是:Win8 64位。

5、在quartus II 中配置ModelSim的路径:Tools→Options→EDA Tool Options

6、在配置所使用的仿真工具时,别弄错了!!!!选ModelSim,不是ModelSim-Altera。


  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: 要在Quartus II调用ModelSim,需要按照以下步骤操作: 1. 在Quartus II中打开要仿真的设计项目。 2. 在菜单栏中选择“Tools” -> “Options”。 3. 在“EDA Tool Options”对话框中,选择“Simulation”选项卡。 4. 在“Tool Name”下拉菜单中选择“ModelSim-Altera”。 5. 在“Executable Location”中输入ModelSim的安装路径。 6. 点击“OK”保存设置。 7. 在Quartus II中选择要仿真的设计文件,右键单击并选择“Simulate” -> “RTL Simulation”。 8. 在“Simulation Settings”对话框中,选择“ModelSim-Altera”作为仿真工具。 9. 点击“OK”开始仿真。 以上就是在Quartus II调用ModelSim的步骤。 ### 回答2: Quartus IIModelSim都是FPGA设计中常用的工具,Quartus II是一个集成的设计环境,可以帮助用户完成各种FPGA设计任务。而ModelSim则是一款FPGA仿真工具,可以模拟FPGA设计的行为,方便用户进行功能验证和调试。 调用ModelSim可以让用户在Quartus II进行仿真操作,非常方便。下面是如何在Quartus II调用ModelSim的步骤: 1. 首先,需要先配置好ModelSim的路径。打开Quartus II软件,点击菜单栏中的“Tools”选项,选择“Options”进入配置界面,在左侧导航栏中找到“EDA Tool Options”一栏,选择“Altera Simulation”进行配置。 2. 在右侧的参数列表中,勾选“Use ModelSim-Altera”选项,然后在下面的“Executable Location”栏中填入ModelSim的安装路径,例如“D:/ModelSim-Altera/15.1/modelsim_ase/win32aloem”。点击“OK”保存配置。 3. 在Quartus II中完成FPGA设计后,需要进行仿真验证。选择“Processing”菜单中的“Start Compilation”选项,生成仿真文件。 4. 在Quartus II中打开“Simulation”菜单,选择“RTL Simulation”进入仿真设置界面。在“Test Bench”一栏中,选择“Create a new simulation model”,生成仿真模型。 5. 在“Simulation Settings”中可以选择仿真方式,如果选择ModelSim仿真,那么在仿真模型生成后会自动跳转到ModelSim界面。在ModelSim中可以完成仿真操作,查看仿真结果以及进行调试和优化。 总之,调用ModelSim仿真工具可以方便地进行FPGA设计的模拟操作,帮助用户验证设计的正确性和有效性。通过以上步骤,可以轻松地在Quartus II调用ModelSim,实现仿真操作。 ### 回答3: quartus II是一款集成电路设计软件,而modelsim则是一款常用的仿真工具,用户可以在quartus II调用modelsim进行电路设计的验证和仿真。具体的步骤如下: 1. 首先打开quartus II软件,创建一个工程,选择一个顶层设计文件,并添加需要的其他设计文件; 2. 在quartus II的设计界面中点击"Tools"菜单,选择"Run Simulation Tool",然后选择"RTL Simulation"一项,这时会弹出一个对话框,询问用户使用哪个仿真工具进行仿真; 3. 在对话框中选择"ModelSim-Altera",并在下面的"Options"栏中设置仿真条件,如仿真时间和仿真的信号; 4. 点击"Start"按钮开始仿真,此时quartus II会自动启动modelsim,并打开仿真窗口,用户可以在modelsim中查看仿真结果。 注意: 1. 在使用quartus II调用modelsim时,需要先在电脑上安装ModelSim-Altera软件,否则无法使用; 2. 用户也可以在quartus II中使用其他仿真工具,方法类似,只是在选择"Run Simulation Tool"时需要选择不同的选项。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值