Vivado关联Vscode编辑器的各种配置

一、Vivado制定Vscode作为文本编辑器     

1.在vivado工程界面Tool菜单中点击Settings

2.在Text Editor项目栏中打开Current Editor下拉框更换默认的文本编辑器,常用的有Notepad++。这里我们需要更改为VSCode,此时点击下拉框最下方的Custom Editor

3.Editor输入框中输入 C:/Program Files/Microsoft VS Code/Code.exe -g [file name]:[line number]前面加粗部分是VSCode应用程序的绝对路径,这里的文件夹的位置要参考安装VSCode时的设置。

二、安装Verilog插件,使用xvlog进行纠错校验

        Verilog HDL/SystemVerilog 的功能是实现语法高亮,自动补全、列出端口等,直接在vscode 插件库中,搜索 Verilog HDL/SystemVerilog 下载即可。

代码补全功能的个人设置,下载上述插件后,打开verilog.json文件,路径如下:

举例说明:在verilog.json文件中粘贴如下代码,作用是:prefix代表,在开发中,我们输入Shixu,body中时序逻辑的代码模板会自动生成,大大减少开发的时间。

"shixu template": {
        "prefix": "Shixu",
        "body": [
            "always@(posedge clk or negedge rst_n)begin",
            "if(rst_n==1'b0)begin",
            "\t\t${1};",
            "\telse begin",
            "\t\t${2};",
            "\tend",
            "end"
        ]
    },

1.通过vivado安装目录下的xvlog(vivado自带的语法纠错工具)可以实现纠错的功能,在VSCode里进行设置前需要将这个工具所在的目录放置在系统的环境变量,以便Vscode调用

2.在电脑搜索栏搜索“环境变量”,在系统变量中找到变量path双击,点击左侧的新建按钮,在下方输入框中输入Vivado的bin文件夹位置,这里的文件夹的位置要参考安装Vivado时的设置。添加完成后,在Vscode终端输入xvlog --version检测是否生效(在vscode中,快捷键ctrl+`调出终端)。

3.如果没有打印出未找到该命令,那么先检查环境变量中的信息有没有填错。如果依旧不行则重启电脑。

4.打开vscode,打开拓展界面,点击verilog的setting,将Verilog的Linter更换成xvlog。如果要使用语法纠错插件来自Modelsim或Quartus,选择对应的linter即可。

注释,自动纠错要在文本保存之后才会纠错,没有保存是看不到效果的

三、其他实用插件:

3.1、TerosHDL(非常强大)

        功能:例化模块、testbench生成、状态转移图生成

安装完成后右上角会出现下图 ,点击选择

功能选择

选择instance

选择instance 然后将例化粘贴在需要的位置。

testbench同理,选择后粘贴即可。

选择testbench

功能2、找信号定义:ctrl+鼠标左键。

3.2、Indent-rainbow

        功能:每个Tab距离显示不同颜色

四、Vscode插件离线安装方法

        实际工作中,由于大多开发环境为内网开发,无法连接外网,需要进行离线安装相应插件。

1. 到vscode官网 https://marketplace.visualstudio.com/vscode 搜索所需插件名称。

2.下载对应扩展,文件后缀为.vsix。

3.返回Vscode界面,点开拓展栏右边三个点,选择“从vsix安装”。

  • 9
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值