流水线除法器verilog实现

除法器思路都是一样的,都是根据下述这个图实现

如果全用组合逻辑实现,那么时序肯定很差,另外一方面如果用时序逻辑实现就要考虑到实际使用时候出发的除数和被除数是流水进来的,所以结果上肯定是要一个周期输出一个数据。

所以我的思路就很简单,就是加寄存器,一拍走一个,如果是N位的我这里用到了N+1个寄存器,当然还可缩减一个。

我分别对除数被除数还有有效信号做了寄存器,然后一拍一拍往下传,用时序逻辑实现除法器移位后的判断,用组合逻辑实现移位操作。

代码如下

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/05/12 20:07:37
// Design Name: 
// Module Name: divider_code
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
//除法器以8bit为例 流水线实现,防止新数据来之后改变之前的结果

module divider_code(
    input clk ,
    input rstn,
    input [7:0] divisor ,
    input [7:0] dividend,
    input div_valid     ,
    output [7:0] div_dout ,
    output [7:0] remainder
);
    reg  [15:0] divisor_r  [8:0];
    reg  [15:0] dividend_r [8:0];
    reg         valid      [8:0];
    wire [15:0] divisor_shift[8:0];
    
    integer i;
    genvar n;
    for(n=0;n<9;n=n+1)
    begin:shift_assign
        assign divisor_shift[n] = valid[n]? divisor_r[n] << 1 : 8'b0;
    end
    
    always@(posedge clk or negedge rstn) begin
    if(~rstn)begin
        for(i=0;i<9;i=i+1)begin
        divisor_r[i] <= 8'b0;
        dividend_r[i] <= 8'b0;
        valid[i] <= 1'b0;
        end
        end
    else if(div_valid)begin
        divisor_r[0] <=  {8'b0,divisor};
        dividend_r[0]<=  {dividend,8'b0};
        valid[0]     <=  div_valid;
        end
    else 
        valid[0] <= 1'b0;
    end
    
    genvar j;
    generate
        for(j=1;j<9;j=j+1)
        begin:generate_divde
            always@(posedge clk)
                if(valid[j-1])begin
                    if(divisor_shift[j-1] >= dividend_r[j-1])begin
                        divisor_r[j] <= divisor_shift[j-1] - dividend_r[j-1] +1'b1;
                        valid[j]       <= valid[j-1];
                        dividend_r[j]  <= dividend_r[j-1];
                    end
                    else begin
                        divisor_r[j]   <= divisor_shift[j-1] ;
                        valid[j]       <= valid[j-1];
                        dividend_r[j]  <= dividend_r[j-1];
                    end
                end
                else
                        valid[j]       <= valid[j-1];
           end
     endgenerate            
    assign div_dout  = divisor_r[8][7:0];
    assign remainder = divisor_r[8][15:8];
    
endmodule

 

可以看到连续发送的除法数据在8个cycle后连续输出

  • 1
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: 流水线除法器是一种用于实现除法操作的电路。它能将一个除数和一个被除数作为输入,并产生商和余数作为输出。下面是使用Verilog语言实现流水线除法器的步骤: 1. 首先,根据除法运算的原理,我们需要将被除数和除数换算成二进制形式,以便进行位运算。 2. 然后,我们需要设计一个控制单元,用于控制流水线的操作。控制单元需要根据被除数和除数的位数,确定流水线的阶段数量。 3. 接下来,我们需要设计具体的流水线阶段。每个阶段负责执行部分除法运算,并将结果传递给下一个阶段。例如,第一阶段可以将被除数右移,以便将该位与除数进行比较。 4. 在每个阶段中,我们需要设计具体的数学运算电路,例如减法器、比较器等,以便执行除法运算的各个步骤。 5. 最后,我们需要将各个阶段连接起来,形成一个完整的流水线除法器电路。在Verilog中,我们可以使用连续赋值语句和模块实例化语句来实现这个连接过程。 总结:流水线除法器Verilog实现主要包括将被除数和除数换算成二进制形式、设计控制单元、设计各个流水线阶段及运算电路,最后将各个阶段连接起来。这样实现流水线除法器能够快速而有效地执行除法运算。 ### 回答2: 流水线除法器Verilog实现可以分为四个阶段:准备阶段、除法阶段、乘法阶段和完成阶段。 在准备阶段,我们需要对输入的被除数和除数进行处理,并初始化一些控制信号和寄存器。首先,我们需要将被除数和除数从输入信号中取出,并进行符号扩展。同时,初始化一些控制信号,如是否为负数等。其次,我们需要对各个寄存器进行初始化,如用于存储商的寄存器、余数寄存器和计数器等。 在除法阶段,我们需要进行真正的除法运算。首先,我们需要通过移位操作将被除数和除数对齐。然后,进行循环操作,每次判断是否可以进行除法运算。如果可以,则进行除法运算,并将商和余数更新到相应的寄存器中。同时,更新计数器的值,以便下一次循环。如果不可以进行除法运算,则跳转到乘法阶段。 在乘法阶段,我们需要实现除数的乘法操作。首先,我们需要对除数进行符号调整,保证除数为正数。然后,通过移位和加法操作,进行乘法运算,并将乘积保存到相应的寄存器中。同时,将计数器的值减一,以便回到除法阶段。 在完成阶段,我们需要进行一些必要的后处理操作。首先,判断商和余数的符号是否需要调整回去。如果需要,则进行相应的调整。然后,将商和余数通过输出信号的形式输出出来。 需要注意的是,流水线除法器Verilog实现是比较复杂的,需要对各个模块进行合理的划分,并进行适当的协调和控制。同时,我们也需要考虑一些特殊情况的处理,如除数为零的情况等。因此,对于初学者而言,需要具备一定的Verilog编程和数字电路设计的知识基础。 ### 回答3: 流水线除法器Verilog实现是一个基于处理器设计的数学计算组件,用于执行除法操作。它通常包括几个关键的部分,如寄存器、控制逻辑、算术单元和时序控制。 在Verilog中,我们可以使用一系列模块和信号来实现流水线除法器。首先,我们需要定义输入和输出信号,包括被除数、除数和商等。然后,我们可以用寄存器模块来存储和更新这些信号的值。 然后,我们需要实现控制逻辑来决定何时执行除法操作。这可以使用有限状态机实现,根据不同的状态决定执行何种操作。例如,我们可以使用状态机来控制加载操作数、执行除法和输出结果等。 接下来,我们需要实现算术单元来进行除法计算。这可以使用一系列乘法、减法和移位操作来实现。具体来说,我们可以使用移位寄存器来对被除数和除数进行移位,并结合减法器来执行除法计算。 最后,我们需要进行时序控制来确保各个模块和信号之间的同步。这可以使用时钟、使能信号和延时器等来实现。 总体而言,流水线除法器Verilog实现是一个复杂的过程,需要对处理器设计、控制逻辑和算术计算有深入的了解。通过编写模块、使用信号和实现适当的逻辑来实现除法操作,我们可以构建一个高效和可靠的流水线除法器

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值