(0)基础积累 —— verilog阶段性小结

本文总结了Verilog设计中的关键点,包括32bit数据写入内存的技巧,运算符的使用(如算术运算、阻塞与非阻塞赋值),关系运算符与移位运算的规则,条件语句的注意事项,以及时序逻辑与组合逻辑的应用。还深入探讨了测试文件(TB)的编写,如initial块、task的用法以及搭建测试平台的步骤。
摘要由CSDN通过智能技术生成

1.案例:把32bit数据写入4位深8bit位宽的memery中去

reg[31:0]    data;
reg[7:0]    byte[1:0];

integer    i;
always @(*) begin
    for(i=0; i<4; i=i+1) begin
        byte[i] = data[(i+1)*8-1 -: 8];
    end
end

说明:byte[i] = data[(i+1)*8-1 :i*8];常规我们这么写好像更容易理解。但是,这种区间表达式中,尽量不要起始端和终止端都带有变量,这样VCS编译器可能不识别。

2.运算符
 ①算术运算符:
        (1)+、-、*、/、%、**:加减随便用,乘除慎重使用(做设计的时候乘除是没有现成的模块的,是需要单独设计乘法器或者除法器),这里主要针对是变量运算,如果是纯数值计算是可以使用的
        (2)举例:
        c=a*b;//必须要调用乘法器的,通过模块例化调用才行
        c=a*2;//可以直接计算,无需调用乘法器

 ②阻塞赋值和非阻塞赋值
分析:
        阻塞赋值——看成连线
举例:

reg a= 0;

always@(*) begin
    a = 1;
    b = a + 1;
end

always@(posedge clk) begin
    a <= 1;
    b <= a + 1;
end</
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

少卿不在大理寺

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值