verilog中端口定义方式以及如何使用变量

一、module端口定义方式

目前有两种方式能够对module端口进行定义,

第一种是我目前使用比较多的,把I/O说明写在端口声明语句里,方式A:

module block(
    input a,b,
    output c,d
);

    assign c=a|b;
    assign d=a&b;
endmodule

第二种方式之前使用的较少,但是最近看到的一些代码都是这样写的,方式B:

module block(a,b,c,d);
    input a,b;
    output c,d;

    assign c=a|b;
    assign d=a&b;
endmodule

两种方式都可行,主要看个人习惯。

二、变量使用

verilog中比较重要的变量类型有三种,分别是wire型,reg型,memory型,简单说一下我认为重要的点。

1.wire型:

常用来表示用以assign关键字指定的组合逻辑信号,模块中输入、输出信号类型不进行reg定义的话默认定义为wire型。感觉一般在testbech中使用较多,比如针对输出信号:

module testbench();
    reg [31:0]in;
    wire [5:0]out;
    ......

endmodule

2.reg型:

即寄存器类型,通常用来表示“always”模块内的指定信号,常代表触发器。在“always”模块内被赋值的每一个信号都必须定义成reg型。

值得注意的是reg会与output一起使用,使输出信号传给输出端口,例如:

module counter(clk,rst_n,cnt);
    input clk,rst_n;
    output [3:0] cnt;
    reg [3:0] temp;

    always@(posedge clk or negedge rst_n)begin
        if(!rst_n)
            temp<=0;
        else
            temp<=temp+1;
    end

    assign cnt=temp;

endmodule

这里是通过使用reg型变量temp来存储数据最后通过assign传输给cnt输出,temp属于内部变量。

也可以用output reg来达到同样的效果:

module counter(clk,rst_n,cnt);
    input clk,rst_n;
    output reg [3:0] cnt;

    always@(posedge clk or negedge rst_n)begin
        if(!rst_n)
            cnt<=0;
        else
            cnt<=cnt+1;
    end

endmodule

在这里将cnt当作reg型变量,在always块中直接赋值。

3.memory型:

可以当作verilog中的数组,格式如下:

   reg[n-1:0] 存储器名[m-1:0];
或 reg[n-1:0] 存储器名[m:1];

即该存储器有m个n位的存储器。

举例说明:

reg [7:0] mema[255:0];

即定义一个名为mema的存储器,该存储器有256个8位的存储器,该存储器的地址范围是0-255。

值得注意的是reg型和memory型数据的区别,例如:

reg [n-1:0] a;
reg a [n-1:0];

 前者是reg型,表示一个n位的寄存器;后者是memory型,表示一个由n个1位寄存器构成的存储器组。

注:本文为笔者的学习笔记,为个人学习复习所使用,水平有限,如有错误请谅解。

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值