如何编写覆盖点为N的倍数的覆盖率

本文介绍了如何在编程中通过定义新的变量实现对特定变量的采样,特别是在需要覆盖点为整数倍的情况下。例如,针对变量x,可以通过创建变量y=x/N (N!=0) 来间接采样x。通过设置covergroup和bin_of_y,可以有效地对y进行采样,从而达到对x采样的目的,这种方法适用于验证和测试场景。
摘要由CSDN通过智能技术生成

在编写覆盖点为N的整数倍的情况下,如何编写,可以定义一个新的变量,用如下方法覆盖:

需要覆盖的变量为x,其变化规律为0,1N,2N,...:

int x;

可以定义一个新的变量y:

int y;

在采样时,可以使用如下的写法:

y = x / N; (N!=0)

covergroup sample_y;

bin_of_y : coverpoint(y) {

bins v = {[0:$]}; // $为上边界;

}

endgroup

对y采样,间接的就进行了x的采样;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值