Veriog学习日志(2021.7.26)

2021.7.26

1.用generate循环结构解决了(41)题和(42)题。

(41)实例化100个全加器,获得一个100位的二进制串行进位加法器。

(42)实例化100个四位的bcd加法器,获得一个能相加400位的二进制串行进位加法器。

2.有符号数运算初步:

①有符号数相加实际上就是补码相加。

②正数的补码是其原码,负数的补码是其反码加一,但是符号位不变。

③然后对相加的两者进行数值上的相加,包括符号数。

④对相加的结果再取一次补码。

对有符号数运算有了初步的了解,但还是做不出(69)题...答案也看不懂。

因为溢出的问题不知道怎么解决,也没有办法分清相加之后的结果01111是15还是-7,先放着吧。

3.补完了BCD相关的知识点和(71)题。

(71)实例化4个bcd加法器,获得一个16位二进制串行进位加法器。

//至此,前面只剩下和有符号数运算相关的(69)题:Signed Addition Overflow。

4.学习一下Verilog里面的function相关语法。

Verilog函数(function)用于重复使用同一段行为级语句时,对其进行封装从而通过多次调用来简化写法的一种结构。

①function不含有任何延迟和时序逻辑成分。

②至少有一个输入变量。

③只有一个返回值,无输出。

④不能使用非阻塞赋值“<=”。

⑤函数可嵌套,但不能调用任务。

⑥函数的返回值是reg变量。

函数声明的基本格式如下:

 

//注:

①关键字function后跟的是函数返回值所设定的位宽和函数调用时所用的函数名。

②在function语句后声明函数的输入变量,变量至少一个。

③在声明完输入变量之后还可以声明其他变量,如行为级语句for循环中所用到的变量i。

④在后边跟着的就是行为级语句,如果语句是一句以上的话就要用begin...end来括起来。

⑤在结束function的声明之后的关键字是endfunction而不是end。

而调用本函数的基本格式如下:

​​​​​​​ 

//注:调用函数名的时候记得后面跟的输入变量要按声明的顺序来写。

函数的声明和调用整体结构如下:(设计一个半加器)

​​​​​​​

 

### 回答1: 1. 首先,你需要到Xilinx官网下载Vivado 2021.2安装包。下载地址为:https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/2021-2.html 2. 下载完成后,双击安装包进行安装。在安装过程中,你需要选择安装路径、安装组件和许可证文件等信息。 3. 安装完成后,打开Vivado软件。第一次打开时,你需要输入许可证文件信息。 4. 接下来,你可以创建一个新的工程或打开一个已有的工程。创建新工程时,你需要选择工程类型、目标设备和工程名称等信息。 5. 在工程中,你可以添加设计文件、约束文件和仿真文件等。设计文件可以是Verilog、VHDL或SystemVerilog等语言编写的代码文件,约束文件可以是XDC或UCF等格式的文件,仿真文件可以是Verilog或VHDL等语言编写的仿真文件。 6. 在完成设计后,你可以进行综合、实现和生成比特流等操作。综合是将设计文件转换为逻辑网表的过程,实现是将逻辑网表转换为物理布局的过程,生成比特流是将物理布局转换为可烧录到目标设备的比特流文件的过程。 7. 最后,你可以将生成的比特流文件下载到目标设备中进行验证和调试。下载方式可以是JTAG、USB或SD卡等方式。 ### 回答2: 在开始vivado2021.2下载安装之前,必须先确认计算机是否符合系统要求。Vivado 2021.2需要64位操作系统,8GB以上内存和至少20GB的可用磁盘空间。同时,还需要安装Java Runtime Environment (JRE)版本8或更高版本。 以下是vivado2021.2下载安装教程: 第一步:在Xilinx官网上下载vivado2021.2软件。需要先创建一个账户并登陆(免费)。下载后可以选择将文件保存到指定的位置。 第二步:运行vivado2021.2下载的可执行文件。运行之后会出现一个安装向导页面,按照提示进行下一步操作。 第三步:在安装向导页面中,选择要安装的版本和组件。可以根据需要自定义安装。选择好后,单击“下一步”。 第四步:接受许可协议。如果同意许可协议,请单击“是”。 第五步:根据需要更改默认安装位置,然后单击“下一步”。 第六步:在“选择可选组件”对话框中,选择要安装的可选组件。可选组件包括文档和语言特定的资源。选择后,单击“下一步”。 第七步:在“预安装检查”对话框中,可以查看可以或无法安装的组件。如果提示安装组件失败,请根据提示解决问题。 第八步:点击“安装”按钮开始安装。安装过程需要一定的时间,请耐心等待。 第九步:安装完成后会弹出一个完成对话框,单击“完成”。 第十步:启动vivado2021.2。输入安装路径中的“Launch Vivado 2021.2”文件夹中的“vivado.bat”文件。启动后,就可以开始使用Vivado 2021.2了。 以上是vivado2021.2下载安装的教程,按照以上步骤进行操作,可以成功的安装vivado2021.2,并开始使用。 ### 回答3: vivado2021.2是一款由Xilinx公司开发的可编程逻辑器件设计软件,能够完成各种FPGA、SoC和ASIC设计。对于电子工程师和学生来说,掌握vivado2021.2的使用方法十分必要。本文将为您介绍vivado2021.2的下载安装教程,以方便大家的学习和使用。 一、vivado2021.2的下载 1.登录Xilinx官方网站(https://www.xilinx.com/); 2.在网站搜索栏输入“Vivado 2021.2”; 3.点击搜索按钮,出现相关链接后,选择“Vivado HL WebPACK”; 4.在页面底部点击“Download”按钮,弹出登录界面后,输入自己的账号和密码登录; 5.选择所需的软件,点击“Accept and Download”即可开始下载。 二、vivado2021.2的安装 1.双击下载文件,打开安装向导程序; 2.首先,选择安装位置,可选择默认的C盘,也可以自定义安装路径,点击“Next”进入下一步; 3.勾选需要安装的组件,目前默认都勾选,点击“Next”进入下一步; 4.在许可协议页面中,勾选“我同意该协议”并点击“Next”继续安装; 5.等待安装程序自行进行安装,耐心等待即可。安装完成后,勾选“启动Vivado”并点击“Finish”按钮。 三、vivado2021.2的使用 1.打开Vivado后,可选择“Create Project”新建一个工程; 2.设置工程的基本信息,包括名称、位置、芯片型号等,再选择语言和constraint,最后点击“Finish”完成工程的建立; 3.创建工程后,可在左侧的菜单中选择“Design Sources”来编写Verilog代码; 4.编辑完毕后,选择“Run Synthesis”进行综合,然后再点击“Run Implementation”进行实现; 5.综合和实现完成后,即可对电路进行仿真、下载和调试测试等操作。 总之,vivado2021.2作为一款强大的FPGA开发工具,帮助电子工程师和学生快速完成设计和开发的任务。本文介绍了vivado2021.2的下载安装教程,希望能够帮助大家快速入门,并多多掌握其它使用技巧。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值