工具:vivado的ip核被锁

打开别人的工程,会出现IP核被锁的情况,查了一下原因可能会有很多:

  • IP License(IP许可证): 如果使用的IP核需要许可证,而当前没有有效的许可证,则该IP核会被锁定。Vivado中的某些高级IP核需要单独购买或订阅才能解锁。如果许可证已过期或未被正确配置,IP核将无法使用。

  • IP版本不匹配: 当你打开一个工程时,如果某些IP核版本与当前安装的Vivado版本不兼容,或者使用的是旧版本的IP核,可能会导致IP被锁定。你可以通过更新IP核或使用兼容版本的Vivado来解决这个问题。

  • IP核未升级: 如果Vivado检测到IP核的版本与当前工程的版本不匹配,可能会提示你需要升级该IP核。在你升级之前,IP核会被锁定以防止不兼容的配置。

  • 工程路径更改: 有时,如果工程的路径或文件位置发生变化,Vivado可能无法找到相应的IP核,导致IP被锁定。这可以通过修复工程路径或重新生成IP核来解决。

我现在是只有一个IP核被锁,其他都没事,我猜测可能是IP版本不匹配吧

在Source File Properties中点击more info可以看到被锁的原因:

然后点击report ---> Report IP Status

可以看到下边弹出的窗口,应该是原本是2.1版本,当前是2.1(Rev.1)版本

解决办法:

选中该IP核,右键Upgrade IP 

弹出窗口:

翻译一下:

Core Container一种新的IP格式,它通过将每个IP存储为磁盘上的单个文件来简化目录结构。任何时候都可以恢复到旧格式。有关使用Core Container的更多信息,请参阅Vivado Design Suite用户指南:使用lP设计或Vivado Design Suite超快速设计方法指南的源代码管理和修订控制部分(Designing with lP or the Source Management and Revision Control sectionofthe UltraFast Design Methodology Guide for the Vivado Design Suite.)。
您希望此时将现有lP转换为Core Container吗?

Options:

将lP转换为Core Container并在项目中设置为默认值

继续使用禁用Core Container——————>>>选择这个选项,点击OK即可

然后就可以发现小锁消失了

打开这个路径下的IP相关文件可以发现:

A是被锁状态下的该IP的文件,B是解锁状态下的该IP文件,应该是启用core container了,后续我再了解一下

贴个相关的帖子,后续学习一下

【IP】vivado中IP核的Core Container特性 - AnchorX - 博客园 (cnblogs.com)

  • 5
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值