vivado ip核被锁,丢失等问题 以及 IP 总线接口封装

 原来的ip核被锁住了

在移植别人工程到新版本的vivado时,有几个自定义ip被锁无法直接更新,网上找了各种方法无果,决定直接通过代码移植自定义一个新的ip核

首先按照以下步骤创建一个新的工程并且打包封装

那个component.xml文件就是到时候要在工程的ip repository中添加的IP核文件

【在思考为什么工程移植时ip核会被锁定,后面检查了工程中ip核的位置,发现根本不在现有工程中,应该是由于源工程的ip核设置时使用的是相对路径,[系统好像是默认会将ip_repo的路径放置于\..\ip_repo,也就是返回到上一级目录后,再进入ip_repo文件夹,所以对方将源工程打包给我时并未将ip核文件打包过来,现有工程中只有ip核的例化]】

这样一番操作后再在源工程的ip repository中添加的IP核,就没有问题了

但是我在打包时,新问题又出现了

源工程中的svidout包含了下面四个输出,可是要如何为四个输出定义一个总结口呢

然后发现在ip核进行封装时可以在Ports一栏选中想要进行封装的接口

这里对于 VIVADO 已经有的总线接口选择ADD,没有的需要选择 Create Interface Definition

比如我这里封装了一个svidout,就选择create

封装完毕之后就可以在User中看到自己封装的结果

这样之后就没问题了

ip核正常了

浅浅更新一波:

新旧版本更替总会遇到奇奇怪怪的问题

马上又遇到了一个新的问题

[Common 17-69] Command failed: No IP specified.  Please specify IP with 'objects'

把文件路径去掉中文即可

二十分钟后又来更新:

对于这个问题,参考旧版本vivado的自定义IP如何在新版本vivado更新_vivado新版本用旧版本的ip-CSDN博客

然后就可以更新ip核了

  • 10
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值