AM调制的FPGA实现

一、说明:

  1. 功能:AM调制
  2. 平台:Vivado 2016.4 和 Matlab R2017a

二、原理:

1. AM调制原理

  • AM已调信号的时域表达式:
    在这里插入图片描述

  • 已调信号的频域表达式:
    在这里插入图片描述
    本质上AM调制就是频谱的搬移。

  • AM调制的系统框图
    在这里插入图片描述
    将调制信号加上一个直流分量,保证信号的最小值大于零,然后再和载波相乘,得到已调信号。

三、AM调制的FPGA实现

1.产生调制信号和载波信号

调用ROM IP核在FPGA内部产生两路余弦信号,其中一路信号用于模拟外部输入的调制信号,另一路用作载波信号。
在配置ROM IP核之前,需要用Matlab生.coe文件,存放

评论 30
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值