Verilog语法中+:和-:用法

关注、星标公众号,精彩内容每日送达
来源:网络素材

Verilog语法中使用+: 和-: 主要用来进行位选择,语法如下:

reg [31:0] value;
value[base_expr +: width_expr];
  1. 1. base_expr表示起始bit。

  2. 2. width_expr表示位宽。

Verilog描述位宽有2种方式:

  1. 1. 大端序:比较常用,如reg [31:0] value, 高位在左边,低位在右边。

  2. 2. 小端序:不常用,如reg [0:31] value,低位在左边,高位在右边。

位选择等效如下代码。

reg [15:0] big_value;
big_value[0+:8] 等价于 big_value[7:0]
big_value[8+:8] 等价于 big_value[15:8]
big_value[7-:8] 等价于 big_value[7:0]
big_value[15-:8] 等价于 big_value[15:8]

reg [0:15] little_value;
little_value[0+:8] 等价于 little_value[0:7]
little_value[8+:8] 等价于 little_value[8:15]
little_value[7-:8] 等价于 little_value[0:7]
little_value[15-:8] 等价于 little_value[8:15]

【THE END】


  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值