10.5 design a mealy FSM

module top_module (
    input clk,
    input aresetn,    // Asynchronous active-low reset
    input x,
    output z ); 

    parameter data0 = 0, data1 = 1, data2 = 2;
    reg [1:0] state, next;
    
    always @(*) begin
        case (state)
            data0 : next = x? data1 : data0;
            data1 : next = x? data1 : data2;
            data2 : next = x? data1 : data0;
        endcase
    end
    
    always @(posedge clk or negedge aresetn) begin
        if (!aresetn) 
            state <= data0;
        else
            state <= next;
    end
    
    assign z = (state == data2 & x)? -1 : 0;  // The output of Mealy FSM depends both on 
                                             //state and input;  
    
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值