使用QuartusII(Verilog语言)进行四种乘法器的仿真实现

本文详细介绍了如何使用QuartusII和Verilog语言实现四种不同的乘法器:并列乘法器、移位相加乘法器、查找表乘法器和加法树乘法器,分析了各自原理、优缺点和资源消耗。通过仿真展示了具体结果,并指出不同乘法器在资源和速度上的权衡。
摘要由CSDN通过智能技术生成

使用QuartusII(Verilog语言)进行四种乘法器的仿真实现

1、并列乘法器(使用“X”实现)
原理图如下:
在这里插入图片描述
被乘数A=A7A6A5A4A3A2A1A0,乘数B=B7B6B5B4B3BB1B0,所得乘积为十六位数由P=P15P14P13……P1P0表示。阵列乘法器由8行8列的细胞模块组成,其中每个细胞模块就是封装好的全加器,64个全加器连接后组成阵列乘法器。
Verilog语言实现:
在这里插入图片描述
仿真图像:
在这里插入图片描述资源耗用情况:
在这里插入图片描述
在这里插入图片描述
可见:EP2C70拥有300个嵌入式硬件乘法器单元,

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值