关于quartus完成乘法运算的一些心得

Altera完成乘法的两种方法,一是依靠dsp硬核,二是用逻辑资源。

为了节约资源,在浮点转定点后,都是用的9bit数据,为了能更好利用dsp资源,但是发现quartus编译完成后并没有调用任何dsp,而是用逻辑资源完成计算。

 

 这主要是因为alphy_11系列的数据是parameter形式,是固定的值,也许是quartus判断用lut是最优解,所以用lut完成。

当把乘法两边都换成变量,如修改第一行multi(乘法两边都是9bit数据):

 

 可以看到quartus调用了一个dsp硬核完成计算。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值