FPGA——乒乓操作

乒乓操作是一个主要用于数据流控制的处理技巧,典型的乒乓操作如下图所示。

       外部输入数据流通过“输入数据选择控制”模块送入两个数据缓冲区中,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(Dual RAM)、SRAM、SDRAM、FIFO等。

       在第1个数据缓冲周期,将输入的数据流缓存到“数据缓冲1”模块。在第2个缓冲周期,“输入数据选择控制”模块将输入的数据流缓存到“数据缓冲2”模块中的同时,“输出数据选择控制”模块将“数据缓冲1”模块第1个周期缓存的数据流送到“后续处理”模块进行后续的数据处理。在第3个缓冲周期,在“输入数据选择控制”模块的再次切换后,输入的数据流缓存到“缓冲数据1”模块,与此同时,“输出数据选择控制”模块也做切换,将“数据缓冲2”模块缓存的第2个周期的数据送到“后续处理”模块,如此不断循环。

       这里正是用到了乒乓操作完成数据的无缝缓冲与处理。乒乓操作可以通过“输入数据选择控制”和“输出数据选择控制”按节拍、相互配合地进行来回切换,蒋经国缓冲的数据流没有停顿的送到“后续处理”模块。

        应用实例:在液晶显示使用乒乓操作可以提高图像的切换速度。

  • 2
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值