verilog小细节

关于dc读文件方法

在dc上读取rtl的方式,不要用read_file(多文件的时候)或者read_verilog(单文件的时候)。

统一用analyze 然后 elaborate的方法。

原因:就目前见过的问题,read_file有可能会出现一些变量依赖找不到的问题, read_verilog可能会出现部分sub module没有例化的问题。出现这些问题后,换成analyze再elaborate都可以避免。

用generate/endgenerate来快速复制电路块 

关于二维变量情况

二维的变量只能设深度上某一行内容,比如图中的深度128,宽度4bit的wire或者reg。

要刷一批位置的内存,就只能用genvar变量与for循环,不能直接用二维的方法取直接设某一个线或reg的值。


关于拆分bit再运算

要注意拆分后bit位的写法左右大

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值