Verilog 电平敏感时序控制

以计数器为例

1 always @(电平敏感列表)

这是我们最常用的,也是之前一直在用的。

always @(en, count)begin
  if (en)
   #20 count = count + 1;
end

2 always wait

之前没有用过,最近在夏宇闻的书上看到的。

在这种形式中,后面的语句块需要等待某个条件为真才能执行,Verilog语言用关键字wait来表示等待电平敏感的条件为真

always
  wait (en)
    #20 count = count + 1;

在该例子中,两块代码表达的意思是一样的,只不过采取了不同的形式,可能第一种形式是我们常见的,已经使用过的。他们都表示:

仿真器连续监视en的值,若其值为0,则不执行后面的语句,仿真会停顿下来。若其值为1,则在20个时间单位之后执行这条语句。如果en始终为1,那么count将每过20个时间单位加1。

注意 :上述两段代码都是不可综合的。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值