自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

OpenFPGA的博客

碎碎思

  • 博客(25)
  • 资源 (56)
  • 收藏
  • 关注

原创 场效应管(FET)知识点释义

场效应管和双极晶体管不同,仅以电子或空穴中的一种载子动作的晶体管。按照结构、原理可以分为:.接合型场效应管.MOS型场效应管这张图片一目了然 ★接合型场效应管(结型FET)原 理  N通道接合型场效应管如图所示,以P型半导体的栅极从两侧夹住N型半导体的结构。将PN接合面上外加反向电压时所产生的空乏区域用于电流控制。   N型结晶区域的两端加上直流电压时,电子从源极流向漏极。电子所通过的通道

2017-08-29 15:06:59 17601 1

转载 场效应管(FET)分类、符号、特性曲线

场效应管分结型(JFET)、绝缘栅型(MOSFET)两大类。按沟道材料型和绝缘栅型各分N沟道和P沟道两种;按导电方式:耗尽型与增强型,结型场效应管均为耗尽型,绝缘栅型场效应管既有耗尽型的,也有增强型的。结型场效应管(JFET)  1、结型场效应管的分类:结型场效应管有两种结构形式,它们是N沟道结型场效应管和P沟道结型场效应管。 结型场效应管也具有三个电极,它们是:栅极;漏极;源极。电路符号中栅极的

2017-08-28 20:51:22 30771

原创 zedboard启动设置

硬件设置:J20连接12V电源线,J14是USB-UART接口,JP7-JP11(MIO2-MIO6)为板卡启动模式设置,zedboard可以分别设置成从NOR,NAND,Quad-SPI,SD Card,JTAG启动,具体设置如下图所示:

2017-08-27 18:13:18 2455

原创 Candence/Allegro启动找不到cdn_XXXX.dll文件解决方法

用了很久的Cadence(OrCAD Capture和Allegro)今天突然就GG了,如图:   估计是由于什么软件使得环境变量被修改了,所以网上搜索了一下,很简单就解决了,下面详细说一说。   首先查看Cadence安装目录下cdn_sfl401as.dll文件是否丢失,如果丢失需要下载该文件,如果没丢失直接设置环境变量就好了。   查找安装路径下,发现cdn_sfl401as

2017-08-24 02:26:07 20653 4

转载 Linux下如何编译并运行C程序

GCC是Linux操作系统下一个非常重要的源代码编译工具,有着许多重要的选项,支持许多不同语言的编译,如C、C++、Ada、Fortran、Objective、Perl、Python、Ruby以及Java等,甚至Linux的许多内核和许多其他自由软件以及开放源码应用程序都是用C语言编写并经gcc编译而成的.编译单个源文件[例如]在屏幕上打印”Hello,Linux.” #include<stdio

2017-08-23 13:20:47 934

原创 SOC-FPGA交叉编译环境搭建

本次使用的SOC-FPGA为Xilinx家族的ZYNQ-7000系列。  环境:PC端为VMware下的Ubuntu 14.0;      基于ZYNQ-7000系列下的Linux系统;1.目的  目的:用来重新编译基于Zynq的Linux系统,同时能写基于Zynq的C程序(其实Vivado中的SDK也能实现)。2.具体步骤:1. 在电脑上安装VMware虚拟机,然后下载虚拟机下的Ubuntu 1

2017-08-23 13:15:32 4554

转载 环境变量错误导致Linux指令不可用

环境变量错误导致Linux指令不可用在使用交叉编译器制作内核文件时,需要在makefile中配置交叉路径,也可以在安装交叉编译器完后配置它的环境变量。但是错误或者不恰当地修改了环境变量,将导致Linux自带的脚本命令不可用。随便在终端敲一个指令,都会得到这样的系统提示:/bin 不在PATH 环境变量中,故无法找到该命令 最简单的解决方法:执行此命令语句:1 /usr/local$ 2 exp

2017-08-22 21:28:30 800 1

原创 人脸检测算法分类

由于上次在Altea申请的License到期了,因为申请还挺麻烦的,而且申请周期太长了,最后主要因为本人的电脑实在太。。。,编译一个SOC-FPGA的程序需要6-10个小时。。。所以现在基本搁置了OpenCL的学习,开始接触机器视觉。各位前辈和大佬能指点一二。  首先对主要的算法和原理做个简单的调查,简单写个总结:0.人脸识别包括以下5个步骤:人脸检测、图像预处理、特征提取、匹配、结果输出。  人脸

2017-08-21 21:36:25 20222 1

原创 FPGA 主流芯片选型指导和命名规则(二)

对于Altera和Xilinx各家的FPGA的主流芯片的介绍见上一篇。2.FPGA命名规则2.1.Altera命名规则上一篇先介绍的Xilinx,这篇就先介绍A家的吧。   主流FPGA产品(上一篇已经介绍了,简单总结)   Altera 的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Cyclone,CycloneII;还有一种侧重于高性能应用,容量大

2017-08-20 17:20:28 20389 1

原创 FPGA 主流芯片选型指导和命名规则(一)

题目可能有点大,主要介绍Xilinx和Altera公司的主流芯片的选型(包括中低高端产品的介绍)和两大厂家的命名规则,主要看封装和逻辑数量。1.主流芯片选型1.1Xilinx主流芯片选型  老规矩,先上个文档,可以自行下载:   http://download.csdn.net/download/pieces_thinking/9942146   在采用FPGA电路设计中,首先要进行芯片选型。而

2017-08-20 17:01:44 49066 2

原创 MobBob 3D打印机器人 制作

看了极客工坊的大佬们做了一个MobBob的机器人,感觉挺萌挺Q的,趁着闲暇时间自己做了一个玩玩,因为刚玩3D打印机,做得有点挫,所以就不放图了。。。1.背景介绍  澳大利亚有一位视频游戏开发者KevinChan,他花了一辈子时间开发的机器人,最近几年又开始使用3D打印。近日,他展示了自己设计的一款非常独特的3D打印机器人。这个机器人的闪亮之处在于,它的主体和控制中心是一部普通的智能手机!KevinC

2017-08-19 22:09:23 10045

原创 树莓派实现远程监控

第一步如何在树莓派上使用usb摄像头希望能将树莓派作为网络监控设备,第一步就是如何让usb摄像头在树莓派上工作!!!! 说明最好是linux下能支持的uvc摄像头,我用的USB的摄像头,linux下一般免驱,具体型号忘记了。![这里写图片描述](http://img.blog.csdn.net/20170817190617773?watermark/2/text/aHR0cDovL2Jsb2cu

2017-08-17 19:25:23 11383 1

原创 跑在树莓派上智能家居雏形(三)

申请及配置公众平台测试账号  1. 打开页面 http://mp.weixin.qq.com/wiki/home/index.html 申请一个公共平台的测试账号   2. 在左侧选择 测试号申请|在线调试选择接口测试号申请   3. 申请成功后,进入管理界面   4. 在接口配置信息的URL处输入你在花生壳申请的域名,后面加上/weixin   Token中填上你

2017-08-17 17:35:56 1908 1

原创 跑在树莓派上智能家居雏形(二)

三.需要用到的所有硬件• 路由器 • 树莓派主板 • 树莓派电源(5V 2A) • 至少 8g tf卡 (推荐class 10,8g足矣) • 支持ouv的摄像头(罗技C170) • 花生棒及电源(或用花生壳内网版代替) • 乐高积木(小颗粒) • 两根网线 • 温度传感器(DHT11) • 光线传感器(光敏电阻模块) • 人体红外传感

2017-08-17 17:29:32 8005 1

原创 跑在树莓派上智能家居雏形(一)

可能标题有点大,但是基本是这个意思。。。一. 使用树莓派与微信公众平台对接(python)  在网上参阅众多教程之后,均无法找到可直接在树莓派上运行的Python微信平台对接模板。  参阅http://my.oschina.NET/yangyanxing/blog/159215此博客的基于sae微信平台教程后,略微修改,可以在树莓派上完美运行,  下面是此模板的Github链接https://git

2017-08-17 17:16:12 8094 4

原创 FPGA模块划分的技巧(Design Pertitioning)

一、模块划分概述模块划分,顾名思义是指模块的划分。但是,明德扬至简设计法提出的模块划分,是广义的“模块划分”。后续所提及的“模块划分”,不单单指模块的划分,还包括模块划分好后,模块的端口及模块之间数据流向的确定。二、模块划分原则原则一:对每个同步时序设计的子模块的输出使用寄存器(Registering)。原则二:将相关的逻辑或者可以复用的逻辑划分在同一个模块。原则三:将不同优化目标的逻辑分开。原则四

2017-08-16 17:08:03 2625

原创 Xilinx与Altera的FPGA区别

最近被各大公司机试\笔试题虐的死去活来,其中一道就是主考的Xilinx与Altera的FPGA架构区别,本人小菜鸟基本只用过A家的FPGA对于X家的知之甚少,这里先简单总价一下,说的不对大家勿喷: 两家FPGA的区别本人认为有两方面吧:1.基本逻辑资源;2.内部基本架构。(也可以看成一方面吧)  从好用来说,肯定是Xilinx的好用,不过Altera的便宜   他们的特点,Xilinx的短线资源

2017-08-15 22:20:17 27923 2

转载 Verilog中可综合与不可综合的语句

*(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module

2017-08-15 21:44:10 6124

转载 转--FPGA全局时钟系统的设计

在使用QuartusII设计Altera的FPGA时,对于时钟的考虑一般很少。我们想得到一个固定频率的时钟,无非就是将晶振从某个时钟管脚输入:若晶振频率即为期望频率,则可以直接使用;若与期望频率不符,则调动IP核生成PLL,配置PLL的输出为期望频率即可。可是若将FPGA换为Xilinx系列,在ISE环境中设计时,时钟的使用就没那么简单了,尤其是在设计复杂工程时,全局时钟系统的设计显得尤为重要。一、

2017-08-12 21:28:24 9593 2

原创 FPGA Fanout-Fanin(扇入扇出)

在谈到多扇出问题之前,先了解几个相关的信息,也可以当成是名词解释。扇入、扇出系数  扇入系数是指门电路允许的输入端数目。一般门电路的扇入系数为1—5,最多不超过8。扇出系数是指一个门的输出端所驱动同类型门的个数,或称负载能力。一般门电路的扇出系数为8,驱动器的扇出系数可达25。扇出系数体现了门电路的负载能力。灌电流、拉电流  当逻辑门输出端是低电平时,灌入逻辑门的电流称为灌电流,灌电流越大,输出端的

2017-08-12 21:20:38 10224

原创 时钟属性jitter和skew

转自:http://wenku.baidu.com/link?url=HoJw3o4fDNcScpm80mQeqSnX3zNaQ9COJFIRJ7t6RJUXDpXquyGS9cIUyqUyHyqcO3dBHN8iIiQ3EsMQWKoKrGJcYf1RjfG_KzuE_gmMxZm Clock jitter & clock skew   Clock skew 和Clock jitter 是影响时

2017-08-11 17:33:52 13503

转载 OSI七层模型与TCP/IP五层模型

一、OSI参考模型 今天我们先学习一下以太网最基本也是重要的知识——OSI参考模型。1、OSI的来源   OSI(Open System Interconnect),即开放式系统互联。 一般都叫OSI参考模型,是ISO(国际标准化组织)组织在1985年研究的网络互连模型。    ISO为了更好的使网络应用更为普及,推出了OSI参考模型。其含义就是推荐所有公司使用这个规范来控制网络。这样所有公司都有

2017-08-11 17:26:32 1192

原创 case/casez/casex 区别与综合

首先,一般性的常识是使用casez,强烈的建议不要使用casex,(虽然我没有这个常识)。这是为啥呢?待续。首先要明确的是’?’代表的不是don’t care,而是’z’。这点一定要明确。再有就是case/casez/casex其实都是可综合的,这一点也要记住。好,再说区别case treats ‘z’ & ‘x’ as it is casez treats ‘z’ as don

2017-08-10 21:53:29 13366

转载 网卡、交换机、网桥、路由器、网关分别工作于OSI模型哪一层

转自:http://www.yacer.cn/jishu/doc-147.html 看一个设备工作在哪一层,需要看它的主要实现功能使用的是哪一层协议头信息。 物理层: 将数据转换为可通过物理介质传送的电子信号 相当于邮局中的搬运工人 数据链路层: 决定访问网络介质的方式 在此层将数据分帧,并处理流控制。本层 指定拓扑结构并提供硬件寻 址。相当于邮局中的装拆箱工人 网络层: 使用权数据路由

2017-08-09 15:03:55 17143

转载 ROM、RAM、DRAM、SRAM和FLASH的区别

ROM和RAM指的都是半导体存储器,ROM是Read Only Memory的缩写,RAM是Random Access Memory的缩写。 本文引用地址:http://www.eepw.com.cn/article/275436.htm   ROM在系统停止供电的时候仍然可以保持数据,而RAM通常都是在掉电之后就丢失数据,典型的RAM就是计算机的内存。   RAM   有两大类,一种称为静

2017-08-09 14:56:05 644

ug_virtualjtag

ug_virtualjtag内有13版的虚拟JTAG的官方文档和17版的官方文档,都是英文版的

2018-09-05

高等数学 第7版 上册 同济大学

高等数学 第7版 上册 同济大学

2018-08-30

ADI高速、混合、微弱信号布线指南(中文对照

ADI高速、混合、微弱信号布线指南(中文对照) 资料写的很详细

2018-08-13

xapp623-FPGA电源设计应用指导

xapp623-FPGA电源设计应用指导-xilinx官方电源设计指导白皮书 这个是中文版的,需要英文版的把资源名称复制百度就可以找到了,也可以私信我

2018-07-18

基于FPGA的深度学习加速器设计与实现

现场可编程门阵列FPGA作为常用的加速手段之一,具有高性能、低功耗、 可编程等特点。本文采用FPGA设计针对深度学习通用计算部分的加速器,主要工作有: 1)、分析深度神经网络、卷积神经网络的预测过程和训练过程算法共性和特 性,并以此为基础设计FPGA运算单元,算法包括前向计算算法、本地预训练算法和全局训练算法。 2)、根据FPGA资源情况设计基本运算单元,包括前向计算单元和权值更新 运算单元。运算单元均进行可配置和流水线设计,在适应不同规模深度学习神经 网络的同时具有高吞吐率。 3)、分析FPGA加速器的上层框架和数据通路,编写linux操作系统下驱动 程序以及面向上层用户简单易用的调用接口。 4)、通过大量实验测试分析影响加速器性能的各种因素,得到加速器的性能、能耗趋势,使用测试数据集与CPU、GPU平台进行性能、功率、能耗等参数对比,分析FPGA实现的优劣性。

2018-06-25

内存及其与CPU连接

内存及其与CPU连接,很经典,主要例子举的非常通俗易懂。

2017-12-03

笔试面试精华题目(修改版)

笔试面试精华题目。主要是硬件工程师笔试和面试过程中常见的题目,命中率百分之80左右。

2017-12-01

开源处理器OpenMIPS模块连接关系图

开源处理器OpenMIPS模块连接关系图,使用VISIO绘制,十分详细,学习OpenMIPS必备利器

2017-11-07

基于状态机的简易RISC CPU设计

基于状态机的简易RISC CPU设计,包括夏宇闻老师Verilog数字系统设计中的文档说明和源码,很详细哟

2017-11-01

稳压二极管的使用

稳压二极管的使用

2017-10-24

差分阻抗的定义及由来

差分阻抗的定义及由来,包括两个文档,一个是英文原版的文档,一个是翻译好的文档。PS:7z的压缩包,最好用7z压缩软件进行解压。

2017-10-17

DDR3相关知识

DDR3相关知识,包括基本知识及和DDR2的对比,文档格式还没调整好

2017-10-17

AMBA-AXI总线详解

AMBA-AXI总线详解,里面介绍了AXI总线的接口标准和协议标准,还有一些时序的介绍

2017-10-17

传输线端接

传输线端接,介绍几种常见的端接方式,介绍这几种方式的优缺点

2017-09-28

三极管相关知识点

三极管相关知识点,包括二极管基础知识,三极管基础知识

2017-09-27

NRF24L01板载PCB天线设计

NRF24L01板载PCB天线设计,包括官方的文档,相关PCB设计说明。还有自己的原理图和PCB源文件,可以直接添加到自己PCB上使用。

2017-09-24

自制2.4G全向天线效果不错

自制2.4G全向天线效果不错

2017-09-24

电源完整性分析(于争博士)

电源完整性分析(于争博士) 里面通俗易懂的讲解了电源完整性的问题,并没有太多数学计算的东西,举例也很简单。

2017-09-20

OpenMIPS_VHDL_practice_v1.0

OpenMIPS_VHDL_practice_v1.0

2017-09-13

OpenMIPS快速入门手册(实践版)

OpenMIPS快速入门手册(实践版)主要包含相关技术的规范,以及OpenMIPS引脚的定义与使用 OpenMIPS快速入门手册(实践版)

2017-09-11

2口手动KVM原理图.pdf

参考原理图

2021-08-02

智能无线电技术.pdf

智能无线电技术

2021-04-11

AXI_Stream_TEST.7z

请先看https://suisuisi.blog.csdn.net/article/details/109455845 后在下载,谢谢

2020-11-02

IMX214_RegisterMap_2.0.0

IMX214_RegisterMap_2.0.0

2019-04-01

数据传输中耦合电容的选择与应用

数据传输中耦合电容的选择与应用 从理论上进行分析在告诉传输链路中AC耦合电容的作用和选择相关理论

2019-03-28

FPGA知识图谱-PDF格式

请各位 先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下眼在下载

2019-03-11

FPGA知识图谱-SVG格式

请各位大哥先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下再下载

2019-03-11

DesignCon2018信号完整性宝典

DesignCon2018信号完整性宝典

2019-02-12

门磁开关磁接近开关原理

门磁开关磁接近开关原理 包括干簧管和简单的基于干簧管的门磁报警器原理

2018-11-14

System Generator实现CORDIC算法工程文件

System Generator实现CORDIC算法工程文件 详细内容,请参照博客:https://blog.csdn.net/Pieces_thinking/article/details/83745331 请看完之后再下载

2018-11-05

基于FPGA的CORDIC算法实现-Vivado Verilog

基于FPGA的CORDIC算法实现-Vivado2018开发环境, Verilog语言编写,带TB文件,已经在ModelSim中仿真通过。

2018-11-01

ModelSim SE 10.4安装破解教程

ModelSim SE 10.4安装破解教程

2018-10-31

CORDIC算法

CORDIC算法的资源 包括Xilinx出的关于算法的介绍和相关的仿真和Verilog代码,还有本人收集的关于CORDIC算法写的比较好的中文书的一节

2018-10-29

时钟信号设计

时钟信号设计 包括布局设计和布线设计 比较简单的规则,适合新手

2018-10-24

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0 这是一款相对完善的视频图像处理的开发板配套的资源,详细的配套光盘,我会在我博客里放出来:基于FPGA的图像边缘检测系统

2018-10-18

PLL 锁相环基本原理

PLL 锁相环基本原理,ADI官方MT-086锁相环(PLL)基本原理,中文版,写的非常详细,有利于理解相关理论。

2018-10-10

CORDIC配套实例

黑金CORDIC教程,配套实例,工程实例,带仿真文件,可以直接用,具体教程,请搜索我的资源

2018-09-26

CORDIC算法介绍及实例

黑金出的CORDIC算法介绍及实例,内容从浅到深,实例完美,需要具体实例的在我的资源里找

2018-09-26

LogicLock技术资料

LogicLock技术资料 包括:Verilog语言与FPGA数字逻辑设计-TimeQuest-LogicLock-design-Partition应用.pptx和第5章--LogicLock优化技术.ppt 资料也是比较老的,如果不是需求特别大的,不建议下载

2018-09-11

Tcl_Tk入门经典(第2版)

Tcl/Tk入门经典》介绍了Tcl语言、Tk工具集以及Tcl和C语言结合编程。《Tcl/Tk入门经典》的第I部分首先介绍了Tcl语言的基本概念和基础知识。第II部分集中介绍如何使用Tk工具集开发图形用户界面。第Ⅲ部分讲解了如何结合Tcl和C语言进行程序开发。 《Tcl/Tk入门经典》原第一作者是Tcl的创造者,所以本书内容覆盖了Tcl语言的主要方面,且示例程序丰富,大部分示例代码可在Tcl安装目录的demos目录中找到。《Tcl/Tk入门经典》适用于Tcl语言的初学者,也适用于希望了解Tcl 8.5版和Tk 8.5版新特性的读者。

2018-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除