自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

OpenFPGA的博客

碎碎思

  • 博客(16)
  • 资源 (56)
  • 收藏
  • 关注

原创 【Vivado那些事】FPGA配置失败,无法启动怎么办

点击上方“蓝字”关注我们文章转自:https://blog.csdn.net/weixin_40994893/article/details/103868662版权归原作者所有前言:都知道...

2021-01-28 08:00:00 2149

原创 Vivadoz中增量编译与设计锁定

关于增量编译所谓增量实现,更严格地讲是增量布局和增量布线。它是在设计改动较小的情形下参考原始设计的布局、布线结果,将其中未改动的模块、引脚和网线等直接复用,而对发生改变的部分重新布局、布线...

2021-01-25 08:00:00 1596

原创 【Vivado那些事】OOC综合方式

之前有分析过Vivado中不弹出OOC综合方式窗口的解决方式,传送门《【每周一问】Vivado综合时突然OCC模式弹不出来了》,里面简单介绍了和Global方式的区别,但是没有更加深入,今...

2021-01-24 09:00:00 2328

原创 几十张PPT带你了解国产FPGA研究框架

FPGA(现场可编程逻辑器件)产品的应用领域已经从原来的通信扩展到消费电子、汽车电子、工业控制、测试测量等广泛的领域。而应用的变化也使FPGA产品近几年的演进趋势越来越明显:一方面,FPG...

2021-01-22 08:00:00 1213

转载 一份很用心的H桥驱动扫盲教程

什么是H桥?H桥是一个比较简单的电路,通常它会包含四个独立控制的开关元器件(例如MOS-FET),它们通常用于驱动电流较大的负载,比如电机,至于为什么要叫H桥(H-Bridge),因为长得比较像字母H,具体如下图所示;这里有四个开关元器件Q1,Q2,Q3,Q4,另外还有一个直流电机M,D1,D2,D3,D4是MOS-FET的续流二极管;开关状态下面以控制一个直流电机为例,对H桥的几种开关状态进行简单的介绍,其中正转和反转是人为规定的方向,实际工程中按照实际情况进行划分即可;正转通常H桥用来驱动

2021-01-19 23:02:52 6677 1

原创 【Vivado那些事】Xilinx FPGA普通IO能不能直接接入PLL作为时钟输入

[结论]普通IO不能直接作PLL的时钟输入,专用时钟管脚可以;普通IO可以通过BUFG再连到PLL的时钟输入上,但要修改PLL的设置 input clk的选项中要选择"No Buffer";具体内部布局分配可以通过 Xilinx的FPGA Editor来查看,ZYNQ的时钟管理也和之前的片子略有不同,之后在另一篇介绍,相关文档 <ug472_7Series_Clocking.pdf>[Demo1]1 // demo1 two bufg connect 2 3 module io

2021-01-19 22:44:13 7093

转载 这样下载 Xilinx 文件,又快,又可靠

小编,小编,求救,赛灵思官网的文件下载不下来啊啥情况啊?好慢。而且总是失败。。。。好吧,小编在这里给大家分享一个业经验证的小技巧吧赛灵思出品,从来都是业经验证。只是一种方式,可以尝试。...

2021-01-19 08:00:00 1121

原创 【Verilog我思我用】-向量部分选择

来源Xilinx VivadoIP源代码。代码在《AXI_lite代码简解-AXI-Lite源码分析》 中,查看AXI_lite的源代码之后有一个比较陌生的“面庞”,如...

2021-01-17 09:00:00 707

原创 vivado多时钟周期约束set_multicycle_path使用

Vivado下set_multicycle_path的使用说明 vivado下多周期路径约束(set_multicycle_path)的使用,set_multicycle_path一般...

2021-01-15 08:00:00 4121

原创 Vivado中jobs和threads的区别?选择多个jobs能加快实现速度么?

  在用Vivado对工程编译时,会弹出下面的对话框:备注:虽然FPGA不能叫编译,但很多工程师为了方便起见,将综合+实现+生成bit文件的过程统称为编译了,这种说法大家理解就好。很多工程...

2021-01-12 08:00:00 418

转载 黑金全部开发板资料(FPGA+ZYNQ)分享

黑金开发板能找到的所有黑金开发板的资料,足够学习使用。ALTERA CYCLONE 10 ...

2021-01-11 08:00:00 17732 69

原创 【Vivado那些事】创建不包含源文件的IP

有时候我们想参考官方的源码,但是有些IP怎么也找不到官方的源码,具体原因是什么呢?下面从下面两种Vivado创建IP的流程看下具体的原因:所谓“数字积木”,就是Vivado集成开发环境基于...

2021-01-10 09:00:00 1666 2

原创 创建包含源文件的IP-带有参数

有时候我们想参考官方的源码,但是有些IP怎么也找不到官方的源码,具体原因是什么呢?下面从下面两种Vivado创建IP的流程看下具体的原因。整个系列文章分为以下几个内容:所谓“数字积木”,就...

2021-01-08 08:00:00 306

原创 零基础入门FPGA,如何学习?

问:本人零基础,想学FPGA,求有经验的人说说,我应该从哪入手,应该看什么教程,应该用什么学习板和开发板,看什么书等,希望有经验的好心人能够给我一些引导。如果想速成,那就上网看视频吧,这...

2021-01-06 08:00:00 870

转载 ZYNQ-迷恋ZYNQ-FPGA开发板资源分享

整理一下手上的米联板子的资源,除了一款K7和A7的FPGA板子大部分都是ZYNQ的,后期会有其他板卡资料陆续发送包括:米联开发板能找到的所有米联开发板的资料,足够学习使用。MA701-10...

2021-01-04 00:00:00 3178 3

原创 谈谈FPGA(入门)学习的两种方式

2020年已经过去,这一年出现在电子领域比较多的词汇就是【集成电路】/【IC】,随之而来的就是整个行业的爆发,与这个领域密切相关的FPGA领域也水涨船高,所谓有商机的地方就有商人出没,各类...

2021-01-03 12:34:09 916

ug_virtualjtag

ug_virtualjtag内有13版的虚拟JTAG的官方文档和17版的官方文档,都是英文版的

2018-09-05

高等数学 第7版 上册 同济大学

高等数学 第7版 上册 同济大学

2018-08-30

ADI高速、混合、微弱信号布线指南(中文对照

ADI高速、混合、微弱信号布线指南(中文对照) 资料写的很详细

2018-08-13

xapp623-FPGA电源设计应用指导

xapp623-FPGA电源设计应用指导-xilinx官方电源设计指导白皮书 这个是中文版的,需要英文版的把资源名称复制百度就可以找到了,也可以私信我

2018-07-18

基于FPGA的深度学习加速器设计与实现

现场可编程门阵列FPGA作为常用的加速手段之一,具有高性能、低功耗、 可编程等特点。本文采用FPGA设计针对深度学习通用计算部分的加速器,主要工作有: 1)、分析深度神经网络、卷积神经网络的预测过程和训练过程算法共性和特 性,并以此为基础设计FPGA运算单元,算法包括前向计算算法、本地预训练算法和全局训练算法。 2)、根据FPGA资源情况设计基本运算单元,包括前向计算单元和权值更新 运算单元。运算单元均进行可配置和流水线设计,在适应不同规模深度学习神经 网络的同时具有高吞吐率。 3)、分析FPGA加速器的上层框架和数据通路,编写linux操作系统下驱动 程序以及面向上层用户简单易用的调用接口。 4)、通过大量实验测试分析影响加速器性能的各种因素,得到加速器的性能、能耗趋势,使用测试数据集与CPU、GPU平台进行性能、功率、能耗等参数对比,分析FPGA实现的优劣性。

2018-06-25

内存及其与CPU连接

内存及其与CPU连接,很经典,主要例子举的非常通俗易懂。

2017-12-03

笔试面试精华题目(修改版)

笔试面试精华题目。主要是硬件工程师笔试和面试过程中常见的题目,命中率百分之80左右。

2017-12-01

开源处理器OpenMIPS模块连接关系图

开源处理器OpenMIPS模块连接关系图,使用VISIO绘制,十分详细,学习OpenMIPS必备利器

2017-11-07

基于状态机的简易RISC CPU设计

基于状态机的简易RISC CPU设计,包括夏宇闻老师Verilog数字系统设计中的文档说明和源码,很详细哟

2017-11-01

稳压二极管的使用

稳压二极管的使用

2017-10-24

差分阻抗的定义及由来

差分阻抗的定义及由来,包括两个文档,一个是英文原版的文档,一个是翻译好的文档。PS:7z的压缩包,最好用7z压缩软件进行解压。

2017-10-17

DDR3相关知识

DDR3相关知识,包括基本知识及和DDR2的对比,文档格式还没调整好

2017-10-17

AMBA-AXI总线详解

AMBA-AXI总线详解,里面介绍了AXI总线的接口标准和协议标准,还有一些时序的介绍

2017-10-17

传输线端接

传输线端接,介绍几种常见的端接方式,介绍这几种方式的优缺点

2017-09-28

三极管相关知识点

三极管相关知识点,包括二极管基础知识,三极管基础知识

2017-09-27

NRF24L01板载PCB天线设计

NRF24L01板载PCB天线设计,包括官方的文档,相关PCB设计说明。还有自己的原理图和PCB源文件,可以直接添加到自己PCB上使用。

2017-09-24

自制2.4G全向天线效果不错

自制2.4G全向天线效果不错

2017-09-24

电源完整性分析(于争博士)

电源完整性分析(于争博士) 里面通俗易懂的讲解了电源完整性的问题,并没有太多数学计算的东西,举例也很简单。

2017-09-20

OpenMIPS_VHDL_practice_v1.0

OpenMIPS_VHDL_practice_v1.0

2017-09-13

OpenMIPS快速入门手册(实践版)

OpenMIPS快速入门手册(实践版)主要包含相关技术的规范,以及OpenMIPS引脚的定义与使用 OpenMIPS快速入门手册(实践版)

2017-09-11

2口手动KVM原理图.pdf

参考原理图

2021-08-02

智能无线电技术.pdf

智能无线电技术

2021-04-11

AXI_Stream_TEST.7z

请先看https://suisuisi.blog.csdn.net/article/details/109455845 后在下载,谢谢

2020-11-02

IMX214_RegisterMap_2.0.0

IMX214_RegisterMap_2.0.0

2019-04-01

数据传输中耦合电容的选择与应用

数据传输中耦合电容的选择与应用 从理论上进行分析在告诉传输链路中AC耦合电容的作用和选择相关理论

2019-03-28

FPGA知识图谱-PDF格式

请各位 先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下眼在下载

2019-03-11

FPGA知识图谱-SVG格式

请各位大哥先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下再下载

2019-03-11

DesignCon2018信号完整性宝典

DesignCon2018信号完整性宝典

2019-02-12

门磁开关磁接近开关原理

门磁开关磁接近开关原理 包括干簧管和简单的基于干簧管的门磁报警器原理

2018-11-14

System Generator实现CORDIC算法工程文件

System Generator实现CORDIC算法工程文件 详细内容,请参照博客:https://blog.csdn.net/Pieces_thinking/article/details/83745331 请看完之后再下载

2018-11-05

基于FPGA的CORDIC算法实现-Vivado Verilog

基于FPGA的CORDIC算法实现-Vivado2018开发环境, Verilog语言编写,带TB文件,已经在ModelSim中仿真通过。

2018-11-01

ModelSim SE 10.4安装破解教程

ModelSim SE 10.4安装破解教程

2018-10-31

CORDIC算法

CORDIC算法的资源 包括Xilinx出的关于算法的介绍和相关的仿真和Verilog代码,还有本人收集的关于CORDIC算法写的比较好的中文书的一节

2018-10-29

时钟信号设计

时钟信号设计 包括布局设计和布线设计 比较简单的规则,适合新手

2018-10-24

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0 这是一款相对完善的视频图像处理的开发板配套的资源,详细的配套光盘,我会在我博客里放出来:基于FPGA的图像边缘检测系统

2018-10-18

PLL 锁相环基本原理

PLL 锁相环基本原理,ADI官方MT-086锁相环(PLL)基本原理,中文版,写的非常详细,有利于理解相关理论。

2018-10-10

CORDIC配套实例

黑金CORDIC教程,配套实例,工程实例,带仿真文件,可以直接用,具体教程,请搜索我的资源

2018-09-26

CORDIC算法介绍及实例

黑金出的CORDIC算法介绍及实例,内容从浅到深,实例完美,需要具体实例的在我的资源里找

2018-09-26

LogicLock技术资料

LogicLock技术资料 包括:Verilog语言与FPGA数字逻辑设计-TimeQuest-LogicLock-design-Partition应用.pptx和第5章--LogicLock优化技术.ppt 资料也是比较老的,如果不是需求特别大的,不建议下载

2018-09-11

Tcl_Tk入门经典(第2版)

Tcl/Tk入门经典》介绍了Tcl语言、Tk工具集以及Tcl和C语言结合编程。《Tcl/Tk入门经典》的第I部分首先介绍了Tcl语言的基本概念和基础知识。第II部分集中介绍如何使用Tk工具集开发图形用户界面。第Ⅲ部分讲解了如何结合Tcl和C语言进行程序开发。 《Tcl/Tk入门经典》原第一作者是Tcl的创造者,所以本书内容覆盖了Tcl语言的主要方面,且示例程序丰富,大部分示例代码可在Tcl安装目录的demos目录中找到。《Tcl/Tk入门经典》适用于Tcl语言的初学者,也适用于希望了解Tcl 8.5版和Tk 8.5版新特性的读者。

2018-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除