基于verilog的FFT设计与实现

本文详细介绍了如何使用Verilog设计并实现快速傅立叶变换(FFT),并通过仿真测试验证了其功能。设计包括一个顶层模块,接收并输出34位数据。仿真测试为设计提供了输入数据。
摘要由CSDN通过智能技术生成

FFT : 快速傅立叶变换(Fast Fourier Transform,FFT)verilog设计与实现
该设计实现FFT的功能,并对设定输入对模块的输出进行仿真测试。

该设计的仿真波形图与工程如下:

在这里插入图片描述
在这里插入图片描述

设计顶层模块如下:
module fft(
input wire clk, // clock
input wire rst_n, // reset
input wire [33:0] data_in, // input from pin
output wire [33:0] data_out // output to pin
);
xfft_0 usr_FFT(

        .aclk(aclk),
        .aresetn(aresetn),
        
        .s_axis_config_tdata(s_axis_config_tdata),
        .s_axis_config_tval
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值