【校招Verilog进阶挑战】 计数器篇:VL26 简易秒表

本文介绍了如何用Verilog HDL设计一个简易秒表模块,该模块具有second和minute两个输出,second从1到60循环计数,每满60次,minute加一,直至minute达到60并停止计数。同时提供了模块的接口信号图和testbench验证方法。
摘要由CSDN通过智能技术生成

文章目录

题解

描述
请编写一个模块,实现简易秒表的功能:具有两个输出,当输出端口second从1-60循环计数,每当second计数到60,输出端口minute加一,一直到minute=60,暂停计数。

模块的接口信号图如下:

在这里插入图片描述

在这里插入图片描述

请使用Verilog HDL实现以上功能,并编写testbench验证模块的功能

输入描述:
clk:系统时钟信号
rst_n:异步复位信号,低电平有效

输出描述:
second:6比特位宽,秒表的秒读数
minute:6比特位宽,秒表的分读数

题解

`timescale 
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ReCclay

如果觉得不错,不妨请我喝杯咖啡

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值