遇见BUG(5)如何找到VHDL的包呢?

由于实在不是太懂VHDL,而工程中难免会遇到前人留下的程序中使用的就是VHDL,于是遇到报错难免会有点头大。

昨天晚上就把别人的VHDL程序移植了过来,本以为大功告成,没想到出现如下这种错误,没能解决,留到了今天:

package 'ary1' not found in library 'work'?

先定位到提示错误的模块的地方:

原想这部分程序是照搬别人的呀,怎么会出错呢?

查了下相关说法:

VHDL学习札记:library and Package

Library work;

表示申明了库,之后用库中的包:
use work.ary1.all;

如果包在库中的话就不会有这个问题,于是定位到库中是否有这个包。

在Vivado中的层次结构是这样的:

把相关的包文件加载进去即可:

运行RTL设计,发现错误解决了。

 

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值