Verilog 组合逻辑一些注意事项

文章讨论了Verilog中reg类型的变量如何在不同的条件语句下可能综合成触发器或锁存器,强调了时钟沿对于触发器生成的重要性。未配对的if-else语句可能导致产生锁存器,并通过使用Verilator仿真及Vivado综合展示了这一情况。
摘要由CSDN通过智能技术生成

reg型变量不一定会被综合成触发器

参考链接

以下是verilog-2001的标准中对wire和reg的定义如下:

wire: A wire net can be used for nets that are driven by a single gate or continuous assignment.
reg: Assignments to a reg are made by procedural assignments (see 6.2 and 9.2). Since the reg holds a value between assignments, it can be used to model hardware registers. Edge-sensitive (i.e., flip-flops) and level sensitive (i.e., RS and transparent latches) storage elements can be modeled. A reg needs not represent a hardware storage element since it can also be used to represent combinatorial logic.

这段话的意思是:在过程赋值语句中,表达式右侧的计算结果在某种条件的触发下放到一个变量当中,而这个变量可以声明成reg类型的。根据触发条件的不同,过程赋值语句可以建模不同的硬件结构:如果这个条件是时钟的上升沿或下降沿,那么这个硬件模型就是一个触发器;如果这个条件是某一信号的高电平或低电平,那么这个硬件模型就是一个锁存器;如果这个条件是赋值语句右侧任意操作数的变化,那么这个硬件模型就是一个组合逻辑。

也就是说,综合出触发器的条件是,需要有时钟的沿触发。

if_else不配对会产生锁存器

在很多地方都看到了这样写法的译码器,甚至南大数电实验也是这么写的。

在这里插入图片描述

在用verilator进行仿真时,出现warnings提示会产生锁存器,

在这里插入图片描述

所以把上述代码放到vivado中进行综合看一下,生成的结构如图:

可以看到生成了这样一个结构,查看资源报告显示,有一个寄存器被配置为了锁存器。
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值