verilog 描述组合逻辑注意事项

1,什么是组合逻辑电路?
逻辑电路在任何时刻产生的稳定的输出信号仅仅取决于该时刻的输入信号,而与过去的输入信号无关,即与输入信号作用前的状态无关,这样的电路称为组合逻辑电路。

2,组合逻辑电路有哪些特点?
组合逻辑电路具有两个特点:
(1).由逻辑门电路组成,不含有任何的记忆元件;
(2).电路是单向传输的,电路中不存在任何反馈回路。

3,如何描述组合逻辑电路?
(1)真值表;
(2)逻辑表达式
(3)逻辑门电路图
(4)卡诺图
(具体描述略)

4,各种描述之间相互转换关系?
(1)真值表——逻辑表示
(2)逻辑表达式——逻辑电路图
(3)逻辑电路图——真值表
(具体描述略)

5,如何使用verilog描述组合逻辑电路,及注意事项?

(1)使用assign描述组合逻辑电路;
在verilog HDL,用assign描述的部分都是组合逻辑电路,下面对assign做简要的介绍:
assign:连续赋值语句是Verilog数据流建模的基本语句,用于对线网进行赋值,等价于门级描述,然而是从更高的抽象层次对电路进行描述。assign语法表示如下:
continuous_assign ::=assign [drive_stength] [delay] list_of_net_assignments;

           list_of_net_assignment :: =net_assignment {, net_assignment}

           net_assignment :: +net_lvalue =expression

           drive_strength默认为strong1,strong0。

注意连续赋值语句只能对线网进行赋值,即等式左边一定是线网数据类型,右边可以是任意的数据类型。

(2)使用always描述组合逻辑电路;
always不仅可以用来描述时序逻辑电路,也可以用来描述组合逻辑电路,主要区别在于敏感列表和赋值方式。这里只介绍always在组合逻辑电路中的应用。
1)在敏感列表中使用电平敏感事件,而不用边沿敏感列表;
2),为变量赋值使用阻塞赋值,而不用非阻塞赋值;
3),在always块内被赋值的变量必须为寄存器型变量。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

百事都可乐

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值