一、为何要在波形中显示断言?
使用断言可以有效地检测波形时序,在波形中观察断言能更方便且快速的定位出错的位置。 可参考IC仿真makefile模板
二、操作步骤
1.开启宏定义
一般断言会写在宏定义里面,如下:
代码如下(示例):
`ifdef SVA
............
`ifdef ASSERT_ON
............
`endif
`endif
在编译的过程中应加上如下定义
comp:
vcs -f file.f +define+SVA+ASSERT_ON
2.在fsdb文件中加:$fsdbDumpSVA
代码如下(示例):
initial begin
$fsdbDumpfile("wave/t_xx.fsdb");
$fsdbDumpvars(0,t_xx);
$fsdbDumpSVA(0,t_xx);
end
3.run时加入如下命令
代码如下(示例):
run:
./simv +fsdb+sva_success
4.波形显示
重新comp、run
在 verdi 的 window 选项选择 assert debug mode,重新打开波形,界面信号列表会出现断言信号