断言波形显示


一、为何要在波形中显示断言?

使用断言可以有效地检测波形时序,在波形中观察断言能更方便且快速的定位出错的位置。 可参考IC仿真makefile模板

二、操作步骤

1.开启宏定义

一般断言会写在宏定义里面,如下:

代码如下(示例):

`ifdef SVA
 ............
`ifdef ASSERT_ON
 ............
`endif
`endif

在编译的过程中应加上如下定义

comp:
	vcs -f file.f +define+SVA+ASSERT_ON

2.在fsdb文件中加:$fsdbDumpSVA

代码如下(示例):

initial  begin
  $fsdbDumpfile("wave/t_xx.fsdb");
  $fsdbDumpvars(0,t_xx);
  $fsdbDumpSVA(0,t_xx);
end

3.run时加入如下命令

代码如下(示例):

run:
	./simv +fsdb+sva_success

4.波形显示

重新comp、run
在 verdi 的 window 选项选择 assert debug mode,重新打开波形,界面信号列表会出现断言信号
在这里插入图片描述


  • 6
    点赞
  • 69
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值