时序分析基本概念介绍<设计规则约束>

640?wx_fmt=gif

今天我们要介绍的sdc内容是设计规则相关的sdc,也就是我们经常说的drv. 主要包括set_max_capacitance,set_min_capacitance,set_max_fanout,set_max_transition。一般情况下lib库里面会有这些约束,但是我们往往为了时序更好,会在sdc里面更加严格的去约束它.

set_max_capacitance,set_min_capacitance:设定了某一端口所连互连线的最大和最小负载电容,负载电容是互连线自身电容和扇出电容之和。

set_max_fanout 80 [current_design]

set_max_fanout:约束了某条net上驱动的所有cell的个数的总和,而这条net可以由input port驱动,也可以使内部的任何一条net。需要注意的是,max fanout并不是用来约束output port的,因为一个输出端口可能会连有很多条net。

set_max_capacitance 150  [current_design]

set_max_transition: 设定端口信号的最大转换时间,需要注意区分data transition time和clock transition time,一般clo

  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值