时序分析基本概念介绍<Virtual Clock>

640?wx_fmt=gif

今天我们介绍的时序分析基本概念是Virtual Clock,中文名称是虚拟时钟。我们通常说的create_clock, create_generated_clock 都是real clock。而virtual clock则不挂在任何port或者pin上,只是虚拟创建出来的时钟。如下所示:

#定义虚拟时钟

create_clock -name VCLK -period 10 -waveform {0 5}

为什么我们要定义virtual clock?

我们通常会把input/output delay挂在virtual clock上,因为input/output delay约束本来就是指片外的时钟,所以挂在virtual clock上较为合理。

640?wx_fmt=jpeg

如上图所示:片外的时钟CLK2和片内的时钟CLK1频率不同。这样的情况下,如何来定义input port {in1}上的约束?

这时,我们就可以给input port {in1}创建一个virtual clock。

create_clock -name VCLK2 -period 10 -waveform {2 8}

create_cloc

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值