每天学命令<get_property>Clock Property

get_property 
var_name 
property 
[-clock clock_name ] 
[-view view_name ] 
[-quiet] 


介绍一下get_property命令里面的clock property属性。命令的用法参考下面链接

Clock Properties

clock_network_pins :返回clockvpath上的所有cell的pin

delay_max_fall :返回clock的max fall delay

delay_max_rise : 返回clock的max rise delay

delay_min_fall: 返回clock的min fall delay

delay_min_rise:返回clock的min rise delay

generated_clocks_extended : 返回master clock的所有的generated clock

hierarchical_name:返回clock的hierarchical name

ideal_transition_max_fall:返回使用set_clock_transition指定的下降沿的max transition值,cts之前使用

ideal_transition_max_rise:返回使用set_clock_transition指定的上升沿的max transition值,cts之前使用

ideal_transition_min_fall:返回使用set_clock_transition指定的下降沿的min transition值,cts之前使用

ideal_transition_min_rise:返回使用set_clock_transition指定的上升沿的min transition值,cts之前使用

is_active:返回该clock有没有在当前view下面用到

is_generated:返回该clock是不是generated clock

is_propagated_clock:返回该clock是否是在propagated模式,试了下,貌似只对通过命令set_propagated_clock后的clock起作用,CTS之后get该属性还是false

latency_fall_max:返回下降沿的最大latency值

latency_fall_min:返回下降沿的最小latency值

latency_rise_max:返回上升沿的最大latency值

latency_rise

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值