时序约束——2 专用时钟引脚

专用时钟引脚(全局时钟引脚)

外部晶振需要接到全局时钟引脚上

参考文献:

专用时钟管脚的一点应用心得

FPGA的时钟的接入要考虑哪些因素?能从非专用时钟引脚接入吗?

FPGA专用时钟引脚

专用时钟管脚的使用


常见错误提示

*******************************************************************************

需要如下修改

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets NETS_NAME_IBUF]

这是因为:将普通端口作为时钟端口,但是并没有在约束文件中将他们绑定到全局时钟上

参考文献

浅析时钟引脚与普通引脚

Xilinx FPGA的专用时钟引脚及时钟资源相关


 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值