【Vivado】 [Place 30-574] 时钟使用普通IO时的报错解决办法

今天在创建工程时,由于只是一个测试用的工程,给时钟信号分配管脚时只是简单的使用了普通的IO管脚,在实现时报了以下错误

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets I_clk_IBUF] >

I_clk_IBUF_inst (IBUF.O) is locked to IOB_X0Y45
and I_clk_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0

报错原因为,编译器在综合时会自动的为工程中的时钟信号生成一个全局时钟BUF,然后如果管脚分配将这个BUFG连接到普通管脚上,就会报以上错误。
请添加图片描述
但如果仔细看一下报错信息:
If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING
如果这种次优条件对于设计是可以接受的,那么可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为WARNING

就会发现即使你坚持使用IO管脚做为全局时钟管脚,这个错误也并不是不能消除的,可以使用CLOCK_DEDICATED_ROUTE约束来将这种错误降级为WARNING,并且它给出了具体的解决方法,即在xdc文件中增加以下约束:
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets I_clk_IBUF]
重新实现,就不会再报错了。

  • 4
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值