Verilog 实现二输入选择器

Verilog 实现二输入选择器

// An highlighted block
var foo = 'bar';
方法一: if ……else

module selector_21(s, a, b, y);
    input s;
    input a;
    input b;
    
    output reg y;
    
    //行为建模:组合逻辑电路
    always @ (*)    begin
        if (s)
        y = a;
        else
        y = b;
    end
 endmodule


方法二: case
 module selector_21(s, a, b, y);
    input s;
    input a;
    input b;
    
    output reg y;
    
    //行为建模:组合逻辑电路
    always @ (*)    begin
        case(s)
        1'b0 : y = a;
        1'b1 : y = b;
        
        endcase
    end
   endmodule


Testbench文件
`timescale 1ns/1ps
module selector_21_tb;
    
    reg s;
    reg a;
    reg b;
    
    wire y;

    selector_21 selector_21_inst(
    .s(s), 
    .a(a), 
    .b(b), 
    .y(y)
    );
   
    initial begin
          s = 1;a = 0;b = 0;
    #200  s = 1;a = 0;b = 1;
    #200  s = 1;a = 1;b = 0;
    #200  s = 1;a = 1;b = 1;
    
    #200  s = 0;a = 0;b = 1;
    #200  s = 0;a = 1;b = 0;
    #200  s = 0;a = 1;b = 1;
    #200  s = 0;a = 1;b = 1;
   
    end
endmodule

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值