FPGA verilog基本外设练习(四)

FIFO 使用

在做工程时候,难免需要存储很多数据,今天继续介绍存储数据的工具FIFO存储器。FIFO(first -in - first- out)
FIFO一般用来不同时钟域之间的数据循传输,也常用于实现不同位宽的接口的数据匹配。
程序设计,向FIFO中写入256个数据,并且从FIFO中读出这256个数据,并验证读出数据是否相同。
系统框图:

在这里插入图片描述
下面是使用FIFO IP核的详细使用步骤。

FIFO ip核调用

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
这里采用读写时钟不一样,上面一栏会出现DCFIFO1和DCFIFO2,接下来继续配置。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
IP核配置完成之后,我们需要编写fifo读模块和FIFO写模块。下面是源码:

FIFO 写模块 fifo_wr.v

module fifo_wr(
    input                       clk,
    input                       rst_n,

    input                       wrempty,        //写空信号
    input                       wrfull,        //写满信号
    output reg [7:0]            data,           //写入fifo的数据
    output reg                  wrreq           //写请求

);

reg [1:0]                
  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值