【VS Code+Verilog+Vivado使用】(1)常用插件

1 常用插件

1.1 Chinese Language Pack

简体中文语言包插件,用于汉化VS Code,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

第一步:按F1或Ctrl+Shift+P快捷键,打开命令面板,输入"configure display language",如下图所示:

在这里插入图片描述

第二步:点击"Configure Display Language",点击"中文(简体) (zh-cn)",自动安装完成后,如下图所示:

在这里插入图片描述

1.2 Verilog-HDL/SV/BSV

使用VS Code进行FPGA开发最重要的插件,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

主要功能如下图所示:

在这里插入图片描述

翻译过来就是:

  1. 语法高亮
  2. 代码片段
  3. 代码检查
  4. Ctags
    1)自动补全
    2)文档符号大纲
    3)悬停显示
    4)转到定义
    5)查看定义
    6)模块例化

1.2.1 语法高亮

语法高亮就是将Verilog-HDL/SV/BSV语法中的关键字以高亮的形式显示,如下图所示:
在这里插入图片描述

1.2.2 代码片段

第一步:找到verilog.json文件,路径如下图所示:

在这里插入图片描述

第二步:打开verilog.json文件,仿照已有代码片段的格式编写自己想要的代码片段,如下图所示:

在这里插入图片描述

第三步:点击需要插入代码片段的位置,输入想要插入的代码片段的"prefix",按向上/向下键选择,选中的条目会高亮显示,如下图所示:

按Enter键插入代码片段,按Tab键依次选择占位符进行编辑即可,如下图所示:

在这里插入图片描述

名词解释之占位符:在verilog.json文件中以"$"开头的部分。

1.2.3 代码检查

Vivado的代码检查工具是xvlog,设置步骤如下:

第一步:在环境变量中设置xvlog的路径,右击我的电脑 > 属性 > 高级 > 系统设置 > 环境变量 > 系统环境变量 > path > 编辑 > 新建,填入xvlog的路径,如下图所示:

在这里插入图片描述

第二步:VS Code左下角 > 管理 > 设置,搜索"linter",点击左侧"Verilog configuration",将"verilog.linting.linter"选项设置为"xvlog",如下图蓝框部分所示:

在这里插入图片描述

第三步:检测xvlog是否设置成功,VS Code最上边 > 查看 > 终端,输入"xvlog --version",如下图所示:

在这里插入图片描述

第四步:检查效果如下图所示:

在这里插入图片描述

1.2.4 Ctags

第一步:下载最新版的Ctags,下载后的压缩包解压到任意位置即可,无需安装,如下图所示:

在这里插入图片描述

第二步:在环境变量中设置ctags.exe的路径,方法参考1.2.3小节,设置后如下图所示:

在这里插入图片描述

第三步:VS Code左下角 > 管理 > 设置,搜索"ctags",将"verilog.ctags.path"选项设置为"ctags",如下图蓝框部分所示:

在这里插入图片描述

1.2.4.1 自动补全

自动补全功能:编辑器根据输入的文本内容自动推荐可能的代码片段、变量或关键字等,帮助程序员更快地完成代码编写工作。

输入文本,按向上/向下键选择所需的对象,按Enter键插入对象,如下图所示:

在这里插入图片描述

设置1

VS Code左下角 > 管理 > 设置,搜索"snippets",点击左侧"建议",不勾选"editor.suggest.snippetsPreventQuickSuggestions"选项,如下图红框部分所示,否则,当插入代码片段后,在对代码片段的占位符进行编辑时,代码的自动补全功能不起作用。

在这里插入图片描述

设置2

VS Code左下角 > 管理 > 键盘快捷方式,搜索"accept selected suggestion",如下图所示,红框部分表示,按下Tab键或Enter键均可自动补全代码内容。

在这里插入图片描述

在插入代码片段后,使用Tab键依次选择占位符进行编辑时,代码片段的Tab键和代码自动补全的Tab键会发生冲突,需要取消代码自动补全的Tab键绑定,只保留Enter键绑定,如下图所示,右击Tab键绑定,点击"删除键绑定"即可。

在这里插入图片描述

1.2.4.2 悬停显示

将鼠标放在变量名上,会自动弹出变量声明悬浮窗,如下图所示。

在这里插入图片描述

1.2.4.3 转到定义

按住Ctrl键或Alt键,点击变量名,会自动跳转到变量声明处。

1.2.4.4 查看定义

右击变量名 > 快速查看 > 速览定义,可以展开变量声明处的代码,用于修改变量声明十分方便,无需来回跳转,修改完后按ESC键关闭即可,如下图所示:

在这里插入图片描述

1.2.4.5 模块例化

第一步:点击需要例化模块的位置;

第二步:按F1或Ctrl+Shift+P快捷键,打开命令面板,输入"instantiate",如下图所示:

在这里插入图片描述

第三步:点击"Verilog:Instantiate Module"命令,选择需要例化的模块,如下图所示:

在这里插入图片描述

点击"…folder"可以访问任意位置的文件。

设置1

VS Code左下角 > 管理 > 键盘快捷方式,搜索"instantiate module",点击左侧+号,添加键绑定,如下图所示:

在这里插入图片描述

将快捷键设置为"CTRL+I",按Enter键完成设置:

在这里插入图片描述

设置快捷键绑定后,在.v文件中按"CTRL+I"快捷键呼出模块例化命令,选择模块直接例化即可。

1.3 vscode-icons

文件图标主题插件,用于将不同的文件显示为不同的图标,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

VS Code左下角 > 管理 > 主题 > 文件图标主题,点击"VSCode Icons",如下图所示:

在这里插入图片描述

显示效果如下图所示:
在这里插入图片描述

1.4 Hex Editor

二进制文件查看插件,用于查看二进制文件,如vivado生成的bit文件,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

双击二进制文件打开,提示无法打开,如下图所示:

在这里插入图片描述

点击"仍然打开",弹出如下图所示窗口:

在这里插入图片描述

点击"Hex Editor",文件打开后效果如下图所示:
在这里插入图片描述

1.5 Error Lens

错误行信息显示插件,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

该插件可以将错误行信息显示在错误行右侧,无需鼠标悬停在错误行以显示错误信息或在终端中查看错误信息,方便排查错误原因,如下图所示:

在这里插入图片描述

1.6 TODO Tree

待办事项插件,用于对代码进行标记,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

插件基本设置如下图所示:

在这里插入图片描述

插件使用效果如下图所示:

在这里插入图片描述

点击上图所示标签,可以直接打开所在文件,并转到标签位置,如下图所示:

在这里插入图片描述

1.7 Waveform Render

WaveDrom插件,用于绘制时序图,在扩展商店搜索安装即可,如下图所示:

在这里插入图片描述

使用方法如下:

第一步:新建.txt文本文件;

第二步:按CTRL+K+D快捷键,调出时序图窗口,相当于"Waveform Render: Draw"命令,窗口右下角显示"Live Preview OFF",如下图所示:
在这里插入图片描述

第三步:按CTRL+K+L快捷键,打开实时预览功能,相当于"Waveform Render: Toggle Live Previe"命令,窗口右下角显示"Live Preview ON/OFF”,如下图所示:

在这里插入图片描述

第四步:编写描述时序图的代码。

请参考:
Verilog:【7】超详细WaveDrom教程,时序图绘制利器,看这一篇就够了

  • 19
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值