Vivado link synplify edf 和 xilinx ip或者原语

摘要:Vivado link synplify edf 和 xilinx ip或者原语

如果只有ip的话:

read_edif ./XX.edif

添加IP

link_design -top XX -part XX

write_checkpoint linked.dcp

-part指的是FPGA 器件

-top指的是顶层的名字,乱填会报错

read_edif需要指定edf的路径

如果既有ip,又有原语的话:

先在原语上包一层wrapper,生成dcp

read_edif ./XX.edif

添加IP

link_design -top XX -part XX

read_checkpoint -cell XX ./XX_ooc.dcp

write_checkpoint linked.dcp

read_edif导入顶层edf

-cell 指的是top下例化instance的绝对路径

查看xilinx回复:

AMD Customer Community

参考文章:

AMD Customer Community

  • 9
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值