黑金AX7350注意事项

黑金开发板的例程代码,可以用来学习FPGA与软件结合的开发设计 ALINYO ZYNQ开发平台基础教程 文档修订记录 版本时间 描述 1.01 2019/3/8 初始版本 我们承诺本教程并非一劳永逸,固守不变的文档。我们会根据论坛上大家的反馈意见,以 及实际的开发实践经验积累不断的修正和优化教程 http://www.alinx.com.cn 3/391 ALINYO ZYNQ开发平台基础教程 序 首先感谢大家购买芯驿电子科技(上海)有限公司出品的zYNQ的开发板AX7z035!您 对我们和我们产品的支持和信任给我们增添了永往直前的信心和勇气。 播下一粒种子,收获一片森林”,更是芯驿电子科技(上海)有限公司的美好愿望,同 时我们会在黑金动力社区hp:www.heijin.org和大家一起讨论,一起学习,一起进步,一起 成长。 http://www.alinx.com.cn 4/391 ALINYO ZYNQ开发平台基础教程 目录 版权声明 序 目录 245 第一章软件包说明和开发板检测∴…….… ,11 11软件包说明. 12开发板检测 121检测需要自备的工具… 12.2开发板线缆连接… 15 123开始测试… ∴17 第二章ZYNQ简介… 2 21PS和PL互联技术…… 22 22zYNQ芯片开发流程的简介 23学习zYNQ要具备哪些技能 .29 231软件开发人员 29 23,2逻辑开发人员 29 第三章 vado开发环境 30 3vado软件介绍 30 32 /vado软件版本 30 33vado软件 Windows下安装 31 第四章PL的“He‖ o World led实验.. 41LED硬件介绍… 38 4.2创建 Vivado工程. 43创建 Verilog HDl文件点亮LED. 44 44添加管脚约束 .49 45添加时序约束… 53 4.6生成B『T文件…… 58 4.7下载调试… 60 第五章HDM输出实验 53 51硬件介绍… ∴63 52程序设计… 5.3添加XDC约束文件 65 54下载调试 66 55实验总结 .167 第六章HDM|直通A调试实验 168 http://www.alinx.com.cn 5/391 ALINYO ZYNQ开发平台基础教程 61硬件介绍… 62程序设计 68 63使用 Vivado调试…………………8 64下载调试 ..73 65实验总结 66常见问题… 76 661网络被综合以后找不到… 662找不到 Debug内核 第七章PL端DDR3读写测试实验…. 77 71硬件介绍 7 7.2 Vivado工程建立 721创建一个PL端ddr3测试工程 78 7.2.2配置ddr3|P. 79 72.3添加其他测试代码 95 73下载调试 74实验总结 95 第八章GTX收发器误码率测试 IBERT实验 ∴96 81硬件介绍… 96 82 Vivado工程建立 83编译下载调试…… 100 84实验总结 a.a...::::aaa. 102 第九章体验ARM,裸机翰出" Hello world 103 91硬件介绍 103 92 Vivado工程建立 103 93SDK调试 112 94实验总结 124 95常见问题 .124 951通过 vivado启动SDK后没有窗口弹出….. 124 第十章PS点亮P的LED灯… 126 101Vⅳado工程建立 .:::::a::.a::::::a( 126 10.2XDC文件约束P管脚. 134 103SDK程序编写 36 104下载调试 139 105实验总结… 141 第十一章Ps定时器中断实验… 142 111 Vivado工程建立 .142 112SDK程序编写. 143 113下载调试 147 http://www.alinx.com.cn 6/391 ALINYO ZYNQ开发平台基础教程 114实验总结 .148 第十二章P按键中断实验 149 121 Vivado工程建立… 149 122下载调试 .153 12.3实验总结 158 第十三章以太网实验(W|P)… ∴159 131Vado工程建立 159 1311Ps端的以太网配置 160 1312PL端AX以太网配置… 161 1313添加约束文件 灬170 132SDK程序… .::::::::.·:::·:.::.:::::::::::·:.:· 171 132WP库修改 171 132.2创建基于LWP模板的APP 177 133下载调试… 178 1331PL端以太网测试 1332PS端以太网测试… 180 134实验总结 .182 第十四章自定义P实验… 183 141PWM介绍… ∴183 4.2 vado工程建立 184 1421创建一
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值