Verilog:逻辑与、按位与、逻辑或、按位或、等于、全等的区别

Verilog:逻辑与、按位与、逻辑或、按位或、等于、全等的区别

前提摘要

  1. 个人说明:

  2. 参考:

    • 《数字电子技术基础》-阎石
    • 《Verilog 数字系统设计教程》夏宇闻
    • 《Verilog HDL 高级数字设计》Michael D.Ciletti
  3. 日期:


正文

逻辑运算

  1. && (逻辑与);
  2. || (逻辑或);
  3. !(逻辑非)。

逻辑运算真值表:

ab!a!ba&&ba||b

位运算符

  1. ~ (取反);
  2. & (按位与)(AND);
  3. | (按位或)(OR);
  4. ^ (按位异或)(XOR);
  5. ^~ (按位同或)(XNOR)。

按位与、按位或、按位异或、按位同或有两种使用方式,一种是双目操作,即一个操作符对应两个操作数A&B;另一种是单目操作,即一个操作符对应一个操作数&A。

位运算真值表:

取反运算符的运算规则:

~result
10
01
xx

按位与运算规则:

&01x
0000
101x
x0xx

按位或运算规则:

|01x
001x
1111
xx1x

按位异或运算规则:

^01x
001x
110x
xxxx

按位同或运算规则:

^~01x
010x
101x
xxxx

不同长度的数据进行位运算:两个长度不同的数据进行位运算时,系统会自动地将两者按右端对齐,位数少的操作数会在相应的高位用0填满,以使两个操作数按位进行操作。

等式运算符

  1. == (等于);
  2. !=(不等于);
  3. ===(等于);
  4. !==(不等于)。

等式运算符的真值表:

===01xz
01000
10100
x0010
z0001
==01xz
010xx
101xx
xxxxx
zxxxx

总结


本文均为原创,欢迎转载,请注明文章出处:CSDN:https://blog.csdn.net/ZipingPan/编程语言。百度和各类采集站皆不可信,搜索请谨慎鉴别。技术类文章一般都有时效性,本人习惯不定期对自己的博文进行修正和更新,因此请访问出处以查看本文的最新版本。

非原创博客会在文末标注出处,由于时效原因,可能并不是原创作者地址(已经无法溯源)。

  • 4
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Pan2iping

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值