2021-05-31

本文详细介绍了Verilog中(@(posedge clk))语句的用法,它用于等待时钟的上升沿触发事件,与wait()语句相比较,阐述了两者的触发方式差异。同时,讨论了always@(posedge clk)块在描述数字逻辑,尤其是D触发器时的作用,帮助读者深入理解数字逻辑设计的基础知识。
摘要由CSDN通过智能技术生成

@(posedge clk)

 

@(posedge clk) 等待clk时钟上升沿,只执行一次,和wait()语句类似always@(posedge clk)描述一个D触发器。

@使用沿触发; wait语句都是使用电平触发。

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

硬是要得

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值