Vivado封装自定义IP

一、创建IP

1 新建两个文件夹,例如一个命名为IP_SRC,用于放置新建的IP,另一个命名为prj,这个文件夹用于放置新建的工程文件,在生成IP后这个文件夹可以删除的。

2新建一个工程,放在文件夹prj。

3添加源文件(输入Verilog程序),不用添加管脚约束和仿真文件。

4添加源文件后,单击Tools→Create and Package New IP→Next。

5选择 Package your current project→Next

6选择IP的保存路径,保存在步骤1中新建的文件夹IP_SRC。然后无须其他设置,直接点击,直到finish,出现下述界面。

7选择 Review and Package→Package IP

8经过以上步骤已成功创建IP,可在文件夹IP_SRC查看。

二、调用自定义IP

1新建一个vivado工程

2打开 IP 添加窗口:PROJECT MANAGER→ Settings→ Project Settings→IP→repository

3添加自定义 IP:点击“+”,添加自定义 IP 路径,点击 OK。

4新建一个BD文件

5添加自定义 IP:PROJECT MANAGER→IP Catalog→User Respository→双击添加

6引出3个引脚,选中右键选择Make External

7修改引脚名字

注意:每一步做完之后保存

8产生顶层文件,模块是把代码图形化了,产生顶层文件将模块包含进去

选择global

9右击 system.bd 选择 Create HDL Wrapper 这步的作用是产生顶层的 HDL 文件

10选择 Leave Let Vivado manager wrapper and auto-update 然后单击 OK

11添加约束,因只有3个引脚,对这3个引脚加约束就可以了。

12产生bit文件。

  • 6
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
Vivado是一种流行的FPGA设计工具,它提供了许多IP核来加速开发过程。然而,并不是所有的需求都可以通过Vivado自带的IP核来满足。在这种情况下,设计师可以创建自己的自定义IP核以实现特定的功能或加速系统性能。 创建自定义IP核的方法与使用Xilinx官方IP核的方法类似。首先,你需要使用VivadoIP Integrator界面来创建一个新的IP。在创建过程中,你可以指定IP的输入输出接口、功能和参数等信息。接下来,你需要使用HDL语言(如Verilog或VHDL)编写IP的逻辑代码。这些代码将定义IP的行为和功能。 一旦你完成了IP的逻辑设计,你可以将它综合到FPGA设备中进行验证。你可以使用Vivado的综合和实现工具来生成bitstream文件,并将其加载到FPGA设备中进行测试。 对于其他工程中使用封装好的IP核的情况,你需要将IP核文件添加到IP核库中。这样,在其他工程中就可以直接使用这个封装好的IP核了。 总的来说,Vivado提供了强大的功能来创建自定义IP核,以满足特定的设计需求。通过设计自定义IP核,开发者可以更好地定制化设计,提高开发效率。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [Vivado定义IP核](https://blog.csdn.net/mengzaishenqiu/article/details/130048317)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

硬是要得

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值