Zynq用vivado+SDK固化程序进QSPI flash中

如果用高版本的SDK发现不行的话,可以改用2015.4SDK,当然本文都适用。

步骤

1.用sdk打开vivado工程对应的Hardware_platform,一般在.sdk文件中。

2.用sdk生成fsbl文件夹(create new Application--->选择ZYNQ FSBL),此时会自动生成.elf文件。

3.用.elf+bit 生成固化所需的.bin文件。(Xilinx tools--->create boot image-->create new--->选择import .bif文件--->选择Add .bit和.elf文件)

4.打开vivado,open hardware manager,右键芯片选择add memory...,然后选择falsh型号,然后直接烧录。

5.大功告成。

普通Fpga(non-zynq)直接vivado生成.mcs文件就可以烧录。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值