verilog中的3种for循环的写法

直接进入正题,对于for循环的三种循环做以下介绍:

  1. for循环用于例化多个模块

注意点:

(1)例化模块在for循环内部

(2)for循环需加名字

(3)genvar 定义在generate外面,可以全局使用(不推荐使用,最好一个generate for,对应一个循环变量)

  1. for循环写多个always块或者写多个assign语句4

注意点:

(1)always块在for循环内部

(2)for循环需加名字

(3)genvar 定义在generate外面,可以全局使用(不推荐使用,最好一个generate for,对应一个循环变量)

其实这个for循环类似第一个。

  1. for循环在一个always块中对写多条类似语句

注意点:

(1)循环变量类型为integer

(2)always块需要加名字,for不用加名字。

小贴士:

generate for中支持data[3i+8:3i],但是单纯for循环,即第三种不支持这种写法,可改为data[3i+:8]。

  • 17
    点赞
  • 87
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值