Thanks, TuSimple!(加油!!!)

Thanks, TuSimple!

#include <iostream>
#include <cmath>
#include <cstdio>
#include <algorithm>
#include <cstring>
#include <queue>
#include <string>
#include <stack>
#include <set>
#include <vector>
#include <map>

#define mod 1e9+7
typedef long long ll;
using namespace std;
#define maxn 100010
int t;
int n,m;

struct node
{
    int h;
    int p;
}a[maxn];
int ans;
int b[maxn];
int q[maxn];
set<int>s1;
set<int>s2;

bool cmp(node a,node b)
{
    return a.h<b.h;
}

int main()
{
    int t;
    scanf("%d",&t);
    for(int i=1; i<=t; i++)
    {
        s1.clear();
        s2.clear();
        cin>>n>>m;
        for(int i=1; i<=n; i++)
        {
            scanf("%d",&a[i].h);
        }
        for(int i=1; i<=m; i++)
        {
            scanf("%d",&b[i]);
        }
        for(int i=1; i<=n; i++)
        {
            scanf("%d",&a[i].p);
        }
        for(int i=1; i<=m; i++)
        {
            scanf("%d",&q[i]);
            if(q[i]==0)
            {
                s1.insert(b[i]);
            }
            else
            {
                s2.insert(b[i]);
            }
        }
        sort(a+1,a+1+n,cmp);
        ans=0;
        set<int>::iterator it;
        for(int i=1; i<=n; i++)
        {
            if(a[i].p==0)
            {
                it=s2.begin();
                if(it!=s2.end())
                {
                    if(a[i].h>(*it))
                    {
                        ans++;
                        s2.erase(it);
                    }
                }
            }
            else
            {
                it=s1.upper_bound(a[i].h);
                if(it!=s1.end())
                {
                    if(a[i].h<(*it))
                    {
                        ans++;
                        s1.erase(it);
                    }
                }
            }
        }
        cout<<ans<<endl;
    }
    return 0;
}

第二种解法

#include <iostream>
#include <cmath>
#include <cstdio>
#include <algorithm>
#include <cstring>
#include <queue>
#include <string>
#include <stack>
#include <utility>
#include <set>
#include <vector>
#include <map>
#define mod 1e9+7
#define inf 0x3f3f3f3f
typedef long long ll;
using namespace std;

const int maxn = 1e5;

struct node
{
    ll h,p;
    int id;
    bool operator < (const node &a) const{
        return h<a.h;
    }
}num[maxn*2+5];
int main()
{
    int t;
    scanf("%d",&t);
    while(t--){
        int n,m;
        scanf("%d%d",&n,&m);
        for(int i=1;i<=n;i++) scanf("%lld",&num[i].h),num[i].id=1;
        for(int j=n+1;j<=m+n;j++) scanf("%lld",&num[j].h),num[j].id=0;
        for(int i=1;i<=n;i++) scanf("%lld",&num[i].p),num[i].id=1;
        for(int j=n+1;j<=m+n;j++) scanf("%lld",&num[j].p),num[j].id=0;
        sort(num+1,num+1+n+m);
        int maleneed=0,famaleneed=0;
        int ans=0;
        for(int i=1;i<=m+n;i++){
            if(num[i].id==1){
                if(num[i].p==1) maleneed++;
                else if(famaleneed>0) ans++,famaleneed--;
            }else{
                if(num[i].p==1) famaleneed++;
                else if(maleneed>0) ans++,maleneed--;
            }
        }
        printf("%d\n",ans);
    }
    return 0;
 }

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是一个示例代码,展示了如何在 Verilog 中将字符串 "THANKS,TEACHERS!" 的 ASCII 码赋值给深度为 32、宽度为 8 的存储器: ```verilog module memory( input [7:0] addr, input [7:0] data_in, input write_enable, output reg [7:0] data_out ); // 定义一个 32x8 的存储器 reg [7:0] mem [0:31]; always @(posedge clk) begin if (write_enable) begin // 将数据写入存储器中的对应地址 mem[addr] <= data_in; end // 从存储器中读出对应地址的数据 data_out <= mem[addr]; end initial begin // 将字符串 "THANKS,TEACHERS!" 的 ASCII 码赋值给存储器 mem[0] <= 84; // T mem[1] <= 72; // H mem[2] <= 65; // A mem[3] <= 78; // N mem[4] <= 75; // K mem[5] <= 83; // S mem[6] <= 44; // , mem[7] <= 84; // T mem[8] <= 69; // E mem[9] <= 65; // A mem[10] <= 67; // C mem[11] <= 72; // H mem[12] <= 69; // E mem[13] <= 82; // R mem[14] <= 83; // S mem[15] <= 33; // ! // 将存储器中的数据输出到仿真波形中进行验证 $display("mem[0] = %c", mem[0]); $display("mem[1] = %c", mem[1]); $display("mem[2] = %c", mem[2]); $display("mem[3] = %c", mem[3]); $display("mem[4] = %c", mem[4]); $display("mem[5] = %c", mem[5]); $display("mem[6] = %c", mem[6]); $display("mem[7] = %c", mem[7]); $display("mem[8] = %c", mem[8]); $display("mem[9] = %c", mem[9]); $display("mem[10] = %c", mem[10]); $display("mem[11] = %c", mem[11]); $display("mem[12] = %c", mem[12]); $display("mem[13] = %c", mem[13]); $display("mem[14] = %c", mem[14]); $display("mem[15] = %c", mem[15]); end endmodule ``` 在这个模块中,我们定义了一个 32x8 的存储器,并在 `initial` 代码块中将字符串 "THANKS,TEACHERS!" 的 ASCII 码赋值给存储器。在仿真中,我们输出存储器中的数据,以验证数据是否正确赋值。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值