两种PWM生成方式

在FPGA中PWM信号常用计数器和比较器产生:一个固定的周期,根据计数器比较值改变占空比(分母不变改变分子)。下面是一个使用累加器生成PWM信号的例子,高电平时间不变,通过改变脉冲周期(分子不变改变分母)的方式获得PWM信号。后面的方式代码简洁,并且能节省不少资源。
module  PWM(clk, PWM_in, PWM_out);
input  clk;
input  [7:0] PWM_in;
output  PWM_out;

reg  [8:0] PWM_accumulator;
always  @( posedge  clk) PWM_accumulator <= PWM_accumulator[7:0] + PWM_in;

assign  PWM_out = PWM_accumulator[8];
endmodule


原文:http://www.fpga4fun.com/PWM_DAC.html

转载于:https://www.cnblogs.com/zhongzhe/archive/2012/03/26/2417485.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值