vscode 配置verilog环境

一、常用的设置

1、语言设置

安装如下插件,然后在config

2、编码格式设置

解决中文注释乱码问题。vivado 默认是这个格式,这里也设置一样。

ctrl +shift +p  打开设置项

3、插件信任区设

打开一个verilog 文件,显示是纯本文,没有自动识别,左侧的插件显示灰色,且有防御的小图标。

打开设置,将  ✔  去掉,重启即可。

二、常用的插件

1、verilog插件

具备语言识别,颜色标记,语句补全,自动例化功能

2、testbench 插件

可以自动生成测试激励文件

3、格式排版

定义跳转插件

不需要额外的设置,装上这个插件即可。理论上iverilog 插件也支持定义跳转,实际测试不行,需要搭配下面这个插件。

安装之后,右上角会出现下图的这个栏,有编译,接口生成,和模块复制的几个选项。 

代码对其插件

比如原先的代码如下图所示

使用快捷键ctrl + L       实现如下图的对其

  • 6
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
要在VScode配置Verilog HDL,你可以按照以下步骤操作: 1. 安装Verilog插件:打开VScode,点击左侧的扩展图标(或按下`Ctrl+Shift+X`),搜索并安装适用于Verilog HDL的插件,如"Verilog HDL"或"vscode-verilog-hdl"。 2. 创建Verilog文件:在VScode中创建一个新的Verilog文件,点击左侧的文件图标(或按下`Ctrl+N`),然后将文件保存为`.v`或`.sv`扩展名。 3. 配置语法高亮:VScodeVerilog插件通常会自动识别并应用语法高亮。如果没有自动启用,请点击右下角的语言模式,选择"Verilog HDL"。 4. 配置Linting(可选):如果你希望在编写Verilog代码时进行语法检查和错误提示,可以配置Linting。插件通常提供了一些配置选项,例如指定使用的Lint工具和配置文件。你可以在VScode的设置中搜索相关选项进行配置。 5. 配置代码格式化(可选):如果你希望对Verilog代码进行自动格式化,可以配置代码格式化选项。插件通常支持使用外部工具(如Verible、Verilator)进行代码格式化。你可以在VScode的设置中搜索相关选项进行配置。 6. 配置仿真和调试(可选):如果你希望在VScode中进行Verilog代码的仿真和调试,你可以寻找适用于Verilog的仿真器插件,并按照插件提供的说明进行配置。 请注意,具体的配置步骤可能因插件或工具而异。建议你参考插件的文档或查找相关教程以获取更详细的配置指导。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

朝阳群众&热心市民

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值