Linux/gvim/EDA常用指令

1.LINUX

cd /路径			//进入路径目录
cd ..			//返回上一级
cd ~			//返回家目录
ll/ls			//列出目录

复制粘贴

//鼠标左键选中复制,鼠标中键粘贴

创建目录/文件

touch .v/.sv		//创建.v/.sv文件
mkdir /路径			//创建文件夹(目录)

物理机与虚拟机共享文件夹

1.编辑虚拟机-选项-共享文件夹
2.总是启用-添加
3.虚拟机内路径 /mnt/hgfs

启用软件命令

gvim 		//进入gvim

vsim 		//进入questasim
vsim & 		//后台运行vsim,进入questasim,不占用terminal

dve			//进入vcs的dve
dve &		//后台

2.gvim

配置了.vimrc

1.三种模式

i 				//进入编辑模式
esc 			//进入正常模式
: 				//进入命令模式

1.编辑模式

//编辑模式
tab				//快速补齐

2.正常模式

常用操作
v				//选中
y				//复制
p				//粘贴
u				//撤销
x				//删除字符
dd				//删除行
切分窗口
ws				//上下切分
wv				//左右切分
wc				//关闭窗口
ctrl+hjkl		//切换窗口
文件目录
vt				//树形文件目录
r				//更新 打开文件目录
回车				//打开文件

3.命令模式

//命令模式
:w				//保存
:wq				//保存并退出

3.EDA

1.Questa

创建新project

1.new-project-add existing file

改变字体大小

tools-edit preferences
by name -find-V2-textfontV2-change value
monospace -12
apply

编译

compile-stimulate-add wave -run

采用脚本运行questa

生成.wlf波形文件
用vsim .wlf打开

覆盖率收集操作

http://t.csdn.cn/ipLjQ

2.VCS+DVE

编译仿真的方式是在terminal命令行中输入:

vcs -full64 counter.v counter_tb.v -debug_all

//指令后缀
//-full64			是64位linux操作系统
//-debug_all		用于产生debug所需的文件
//+v2k				用于verilog兼容2001年之前的标准
//-f verilog.f 		用于将.f中的verilog文件全部编译
//-l xxx.log		用于将编译产生的信息放在log文件里

编译仿真后生成了一个simv可执行文件,打开vcs自带的dve软件工具

./simv -gui 

或者调用vcs后有 .vpd和.fsdb波形文件
.vpd波形文件用vcs自带的dve查看
.fsdb波形文件用verdi查看

dve &			//调用dve
选中simulator->Setup,加入生成的.simv文件
在File->Open Database,找到.vpd文件
打开波形文件查看,找到想打开的那个,右键,Add To Waves -> New Wave View

makefile脚本简化以上命令步骤

编译的时候只需要输入make all就可以自动编译加载波形窗口了
*写脚本命令行使用tab键
只需要更改file.f里的内容即可,file.f如下

查看覆盖率

执行脚本后,命令行执行
dve -cov&

3.VCS+Verdi

使用VCS+Verdi进行仿真时,需要在tb仿真文件中添加如下代码,产生.fsdb的波形文件:

initial begin
    $fsdbDumpfile("tb.fsdb");
    $fsdbDumpvars;
    $fsdbDumpon;
end

打开Verdi软件

./simv -gui=verdi

当使用VCS+Verdi进行单步调试时,需要在VCS编译时增加-lca -kdb选项予以支持

vcs -full64 -f flist -debug_all -lca -kdb

// -kdb -lca是为了生成verdi和VCS的交互文件。
//添加-kdb选项支持输出KDB格式的数据,用于与Verdi在交互模式交换数据
//而KDB格式属于"Limited Customer Availability"特性,必须通过-lca选项开启

脚本make all开始仿真后
通过命令同时打开波形文件和设计文件

verdi xxx.fsdb -f filelist.f

单步调试可以在其中加断点,在命令行输入run将按照断点处执行,同时可以进行单步运行,输入命令next。相关命令操作都可以在菜单simulation里面找到。

查看波形

手动载入.fsdb波形文件

添加查看波形的信号

查看、分析波形操作

*信号可以分组命名,结构清晰便于对照

保存当前的波形界面

设计间信号跳转
在代码选中信号后利用四个键来实现信号跳转


//脚本

  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值