Xilinx、Altera、Lattice FPGA器件使用ModelSim单独仿真步骤

一、Xilinx器件使用ModelSim单独仿真步骤

1. 7系芯片的仿真

1.1 编译仿真库

(1)打开vivado,在工具栏中选择Tools -> Compiler Simulation Libraries…,在弹出的界面选择仿真库的路径,直到编译完成
(2)将仿真库路径里的modelsim.ini中[Library]下面; AutoLibMapping = 0后的和仿真库路径一致的文本都复制到modelsim安装目录下modelsim.ini对应的位置;
在这里插入图片描述在这里插入图片描述

1.2 创建run_sim.tcl文件

创建一个.tcl的文件,内容如下,

  • 其中每行’#'后面的内容为注释;
  • volg命令用来编译所用到的v文件;
  • vsim命令是调用gui界面进行仿真,work后面的top_tb为testbench文件的模块名;
  • do命令是运行后面的文件;
  • restart是复位仿真;
  • run命令用来指示仿真运行的时长;
vlog glbl.v
vlog top.v
vlog top_tb.v

vsim -gui work.top_tb work.glbl -novopt -L secureip -L unisims_ver -L unimacro_ver -L unifast_ver -L simprims_ver  
do wave.do
restart
run 10us

1.3 启动modelsim软件

  • 在Libray窗口可以看到添加到modelsim的lattice器件仿真库;
  • 在Transctipt窗口cd到tcl文件的目录下;
  • 输入do run_sim.tcl 命令;
  • 在跳出的sim窗口加入添加信号即可查看信号波形;

2. 6系芯片的仿真

2.1 编译仿真库

(1) 打开ISE安装路径下的compxlib.exe ,会弹出下图右侧图片, 然后一路next到下图第二张图中界面,选择仿真库的路径,然后等到第三张图的界面完成编译;
在这里插入图片描述在这里插入图片描述在这里插入图片描述

2.2 创建run_sim.tcl

创建一个.tcl的文件,内容如下,

  • 其中每行’#'后面的内容为注释;
  • volg命令用来编译所用到的v文件;
  • vsim命令是调用gui界面进行仿真,work后面的top_tb为testbench文件的模块名;
  • do命令是运行后面的文件;
  • restart是复位仿真;
  • run命令用来指示仿真运行的时长;
vlog glbl.v
vlog top_tb.v
vlog top.v 

vsim -gui work.fifo_test_tb work.glbl -novopt -L secureip -L simprims_ver -L uni9000_ver -L unimacro_ver -L unisims_ver -L xilinxcorelib_ver
do wave.do
restart
run 10us

2.3 启动modelsim软件

  • 在Libray窗口可以看到添加到modelsim的lattice器件仿真库;
  • 在Transctipt窗口cd到tcl文件的目录下;
  • 输入do run_sim.tcl 命令;
  • 在跳出的sim窗口加入添加信号即可查看信号波形;

二、Altera器件使用ModelSim单独仿真步骤

1. 编译仿真库

(1). 找到modelsim安装路径下的modelsim.ini文件,去掉只读属性;
(2). 打开QuartusII软件,本文使用12.1版本,点击Tools->Launch Simulation Library Compiler,如下图

  • Tool name:选择ModelSim;
  • Executable location:选择ModelSim安装路径;
  • Library families:选择需要用的的器件,建议全部选择,一劳永逸;
  • Library language:全选Verilog和VHDL;
  • Output:选择仿真库输出路径;
  • 点击Start Compilation,开始编译;
    (3). 编译完成之后,modelsim.ini文件中会增加仿真库的路径,并将该文件改回只读属性;
    在这里插入图片描述在这里插入图片描述

2. 创建run_sim.tcl文件

写入内容如下,

  • 其中每行’#'后面的内容为注释,第一行标注为文件所在路径;
  • volg命令是用来编译v文件的;
  • vsim命令是调用gui界面进行仿真,work后面的top_tb为testbench文件的模块名;
  • 第6行是Altera仿真常用的库,根据需要添加到第5行的后面;
  • do命令是运行后面的文件;
  • restart是复位仿真;
  • run命令用来指示仿真运行的时长;
    在这里插入图片描述

3. 启动modelsim软件

  • 在Libray窗口可以看到添加到modelsim的altera器件仿真库;
  • 在Transctipt窗口cd到tcl文件的目录下;
  • 输入do run_sim.tcl 命令;
  • 在跳出的sim窗口加入添加信号即可查看信号波形;

三、Lattice器件使用ModelSim单独仿真步骤

1. 编译仿真库

打开Lattice Diamond软件,在Tcl Console窗口输入以下命令,下面的命令将编译所有Lattice FPGA器件的Verilog和VHDL仿真库,并将仿真库放在-target_path指定的文件夹下,Modelsim的路径由-sim_path指定。

cmpl_libs -sim_path C:/modeltech64_10.6d/win64 -target_path d:/Lattice_sim_lib

编译完成之后的信息如下,错误可以忽略
编译仿真库结果

2. 将仿真库路径复制到ModelSim安装路径下

使用notepad++打开仿真库路径下的modelsim.ini文件,将以下内容复制
在这里插入图片描述
将modelsim安装路径下的modelsim.ini文件只读属性去掉,将以上内容复制到对应位置,保存,然后再改回只读属性
在这里插入图片描述

3. 创建run_sim.tcl文件

写入内容如下,

  • 其中每行’#'后面的内容为注释,第一行标注为文件所在路径
  • volg命令是用来编译v文件的,
  • vsim命令是调用gui界面进行仿真,work后面的top_tb为testbench文件的模块名;
  • do命令是运行后面的文件
  • restart是复位仿真
  • run命令用来指示仿真运行的时长
    在这里插入图片描述

4. 启动modelsim软件

  • 在Libray窗口可以看到添加到modelsim的lattice器件仿真库;
  • 在Transctipt窗口cd到tcl文件的目录下;
  • 输入do run_sim.tcl 命令;
  • 在跳出的sim窗口加入添加信号即可查看信号波形;

在这里插入图片描述
在这里插入图片描述


  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值