【Lattice FPGA 开发】Modelsim与Diamond联合仿真

本文讲解Modelsim与Diamond进行联合仿真步骤,以及对遇到问题的解决与说明。


保证Modelsim与Diamond能够进行联合仿真的前提是:

1.Diamond软件中设置仿真软件为Modelsim;
2.Modelsim具有对应Lattice的仿真库文件。

以此便建立了Modelsim与Diamond之间的联系。至于具体仿真时出现的报错再根据报错信息一一解决。

接下来讲解设置此两前提的步骤,以及遇到的问题解决。

软件版本

博主使用的软件版本:Diamond 3.13 + Modelsim SE-64 10.7

0. Diamond设置文件为仿真文件

Diamond中设置某文件为仿真文件
在这里插入图片描述

特别注意

在联合仿真时,仿真文件testbench中要加入以下两个例化代码

GSR GSR_INST(.GSR(1'b1));
PUR PUR_INST(.PUR(1'b1));

否则可能报错:
(vopt-7063) Failed to find ‘GSR_INST’ in hierarchical name ‘GSR_INST.GSRNET’.
(vopt-7063) Failed to find ‘PUR_INST’ in hierarchical name ‘PUR_INST.PURNET’.

1. Diamond设置仿真软件为Modelsim

在Diamond中选择 Tools > Options > Environment > Directories,在Simulation的Modelsim/QuestaSim选择modelsim的安装路径:D:/modelsim/win64,注意此处的斜杠/的方向【此地址以自己安装的Modelsim目录为准,写到win64层级为止】
在这里插入图片描述

2. Modelsim编译Lattice的库文件

2.1 新建文件夹存放库文件

在Modelsim安装目录下新建Lattice文件夹,用于存放编译后的库文件。文件夹地址和文件夹命名没有要求,方便记住最佳。
在这里插入图片描述

2.2 Modelsim中建立新的仿真库

2.2.1 更改Modelsim工作路径

打开Modelsim软件,点击“file ‐‐>Change Directory” 然后打开我们在2.1中建立的仿真库文件夹。比如“D:/modelsim_10.7/Lattice” ,然后确定。

此时我们看到下方的Transcript栏显示地址跳转到了文件夹,并且正在reading XX地址下modelsim. ini的文件(如果未出现该地址,直接进Modelsim安装文件夹根目录找到该文件即可)。我们找到这个文件右键点击属性,然后去掉只读属性,确定并退出。
在这里插入图片描述

去掉只读属性:(去掉的目的是由于我们在后续进行编译库时需要向此文件内写东西,去掉只读属性才能写入)
在这里插入图片描述

(网络上的一些教程是修改Modelsim根目录下的“modelsim.ini”文件为只读属性,由于博主之前创建过Xlinx的库文件,里面也有一个“modelsim.ini”文件,经过实验发现Modelsim初始对两个“modelsim.ini”文件都会进行读取,所以修改哪个文件似乎不重要。博主选择reading地址的文件进行修改)

2.2.2 建立新的仿真库

File->NEW->library 新建一个仿真库填你建的仿真库名称
由于博主使用的是Lattice ECP5U的芯片,所以使用ECP5U来命名。
在这里插入图片描述

2.2.3 编译Lattice仿真模型文件

输入完成后,ECP5U库变成如下形态ECP5U(empty),还是空的,接下来编译库文件放进去。
在这里插入图片描述

在modelsim的菜单栏中选择Compile >> Compile,出现如下对话框。

1.注意在Library中选择刚才新建的库(ECP5U)

2.在“查找范围”中,选择Lattice对应Family的器件需要编译的文件,在编译的时候一般需要编译两个文件夹下的子文件,在本例中一个为ecp5u文件夹下的所有文件,另一个为pmi文件夹下的所有文件。在编译以前,一定要确认需要仿真的顶层文件是verilog还是VHDL。

若顶层仿真文件为Verilog,则需要编译的库文件的路径为:D:\Diamond\diamond\3.13\cae_library\simulation\verilog
若顶层仿真文件为VHDL,则需要编译的库文件的路径为:D:\Diamond\diamond\3.13\cae_library\simulation\vhdl
在这两个文件夹下,分别有ecp5u和pmi文件。pmi文件夹中的文件,主要为一些ip core的仿真库(一定记得要编译该文件夹,不然IP核无法仿真)。

选择编译ecp5u中的所有文件:Library中选择库(ECP5U)、“查找范围”选择ecp5u文件夹;ctrl+all全选后点击compile。
在这里插入图片描述

查看modelsim最下方的Transcript信息中,当编译无错后,再选择编译pmi中的所有文件:Library中选择库(ECP5U)、“查找范围”选择pmi文件夹;ctrl+all全选后点击compile。
在这里插入图片描述

此时我们已经可以看到ECP5U库不再为(empty)。
在这里插入图片描述
编译完成,在Transcript中没有错误出现后。点击Done,退出Modelsim。

2.3 修改modelsim.ini中库文件路径

打开modelsim.ini文件,在[Library]部分的最后,[vcom]的上方,会发现有一行:ECP5U = ECP5U,修改这一行为:ECP5U = 2.1中的库文件,即ECP5U =D:/modelsim_10.7/Lattice/ECP5U(注意此处的斜杠/的方向),其功能为指定仿真库的路径。修改完成后保存退出,并添加modelsim.ini的只读属性,防止错误修改

3.Diamond启动仿真

点击图标Simulation Wizard 或者 菜单栏中选择Tools > Simulation Wizard. 输入仿真工程名以及路径,选择仿真工具Modelsim.在点击Finish后会自动启动modelsim。
在这里插入图片描述

选择地址,工程名称。默认会在Froject location文件夹下创建Project name文件夹,点击Next。
在这里插入图片描述

点击YES
在这里插入图片描述

点击next,next到此页面选择仿真文件点击next,下一页点击finish后开始仿真。
在这里插入图片描述

4. Modelsim仿真设置

编译全部文件,在这个过程中如果出错,请修改。将?编译成√。
在这里插入图片描述

在modelsim的菜单栏中选择Simulate > Start Simulate,在Libraries中选择需要添加的仿真库,这个仿真库必须与所选用的器件是同一Family的,并点击OK。
在这里插入图片描述

点击Library栏,删除掉原来默认的library:
在这里插入图片描述

加入本次仿真的库:
在这里插入图片描述

点击Design栏,点击仿真文件点击OK即可完成仿真。
在这里插入图片描述

5.报错与解决

将sim页面的仿真文件信号增加到波形时出现错误:(vish-4014) No objects found matching ‘/tb_top/*’.并且看到部分信号模块未出现,只有部分或者没有Object对象可供加入wave,估计被优化了。

解决办法:重复4中的步骤,在 Start Simulate页面的Design栏选择Optimization Options…,点击Apply full visibility to all modules(full debug mode),点击OK,再次仿真即可。(原因可能是由于高版本modelsim仿真信号时被优化掉)
在这里插入图片描述

  • 37
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值