systemverilog(四)连接设计和测试平台

1/测试平台与DUT之间的通信
在这里插入图片描述
在传统verilog中,测试平台的代码独立于设计代码,处于不同的模块中,但是使用模块来保存测试平台经常会引起驱动和采样的时序问题。所以systemverilog引入了程序块program block,从逻辑上和时间上分开测试平台。
模块之间的连接采用接口,是systemverilog中一种代表一捆连线的结构。(接口可以像模块那样例化,也可以向信号一样连接到端口)
在这里插入图片描述
使用端口的仲裁器模型(由于systemverilog 中reg类型可以像wire一样连接块,为区别verilog中的reg类型,logic(单驱动))

module arb_port(output logic[1:0] grant,
				input logic reset,
				input logic [1:0] request,
				input logic clk);
...
endmodule	

使用端口的测试平台(测试平台通过端口与设计连接)

module test(input logic [1:0] grant,
			output logic [1:0] request,
			input logic clk,
			output reset);
initial
begin
	@(posedge clk) request<=2'b01;
	$display("@%0t:req=01",$time);
	...
	$finish
end
endmodule

顶层连接测试平台和DUT,并且含有一个简单的时钟发生器(clk generator)
最后,采用例化的方式

module top;
logic [1:0] grant,request;
bit clk,rst;
always #5 clk=~clk;
arb_port a1(grant,request,rst,clk);
test b1(grant,request,rst.clk);
endmodule

但是真实的设计往往有数百个端口信号,声明信号和端口复杂困难
在这里插入图片描述
可以采用接口简化连接

interface arb_if(input bit clk);
logic [1:0] grant,request;
logic rst;
endinterface

在这里插入图片描述

  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值